CN106959586A - 光刻胶组合物和制造图案化器件的方法 - Google Patents

光刻胶组合物和制造图案化器件的方法 Download PDF

Info

Publication number
CN106959586A
CN106959586A CN201611121178.7A CN201611121178A CN106959586A CN 106959586 A CN106959586 A CN 106959586A CN 201611121178 A CN201611121178 A CN 201611121178A CN 106959586 A CN106959586 A CN 106959586A
Authority
CN
China
Prior art keywords
photoetching
layer
photoetching compositions
chemical formula
sensitizer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201611121178.7A
Other languages
English (en)
Other versions
CN106959586B (zh
Inventor
朴珍
金贤友
韩镇圭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN106959586A publication Critical patent/CN106959586A/zh
Application granted granted Critical
Publication of CN106959586B publication Critical patent/CN106959586B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0751Silicon-containing compounds used as adhesion-promoting additives or as means to improve adhesion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

公开光刻胶组合物和制造图案化器件的方法。所述光刻胶组合物包括:包括结合有含硅离去基团的重复单元的光刻胶聚合物、包括氟化锍的光致产氟剂、和溶剂。

Description

光刻胶组合物和制造图案化器件的方法
相关申请的交叉引用
要求2015年12月9日在韩国知识产权局(KIPO)提交的韩国专利申请No.10-2015-0175067的优先权,将其内容全部引入本文作为参考。
技术领域
实例实施方式涉及光刻胶组合物、具有光刻胶层的中间产品、以及制造图案化器件和半导体器件的方法。更具体地,实例实施方式涉及包括光刻胶聚合物的光刻胶组合物、具有包括光刻胶聚合物的光刻胶层的中间产品、以及使用包括光刻胶聚合物的光刻胶组合物制造图案化器件和半导体器件的方法。
背景技术
光刻工艺被用于形成半导体器件中的材料层图案。在一种类型的光刻工艺中,将光刻胶层在曝光工艺中(例如通过光源)部分地曝光以限定光刻胶层的曝光部分和未曝光部分,然后在显影工艺中将曝光部分或未曝光部分除去以形成光刻胶图案。可使用光刻胶图案作为蚀刻掩模蚀刻位于光刻胶图案下面的对象(目标)层以在对象层中形成期望的图案。
发明内容
根据本发明构思的一个方面,提供包括如下的光刻胶组合物:包括结合有含硅离去基团的重复单元的光刻胶聚合物、包括氟化锍的光致产氟剂(photo-fluorinegenerator)、和溶剂。
根据本发明构思的另一方面,提供包括如下的光刻胶组合物:包括结合有含硅离去基团的重复单元的光刻胶聚合物、光致产氟剂、能够产生氟的敏化剂、和溶剂。
根据本发明构思的又一方面,提供制造图案化器件的方法。在所述方法中,在基底上形成对象层。通过用光刻胶组合物涂覆所述对象层而在所述对象层上形成光刻胶层。所述光刻胶组合物包括:包括结合有含硅离去基团的重复单元的光刻胶聚合物、包括氟化锍的光致产氟剂、和溶剂。对所述光刻胶层进行曝光工艺,使得所述光刻胶层可被划分成曝光部分和未曝光部分。将所述曝光部分除去以形成光刻胶图案。使用所述光刻胶图案将所述对象层图案化。
根据本发明构思的再一方面,提供制造半导体器件的方法。在所述方法中,在基底上形成隔离层以在所述基底上限定活性(active)图案。在所述隔离层和所述活性图案上形成栅结构体。形成电连接至所述活性图案的接触。形成覆盖所述栅结构体和所述接触的绝缘中间层。在所述绝缘中间层上通过将所述绝缘中间层用光刻胶组合物涂覆而形成光刻胶层。所述光刻胶组合物包括:包括结合有含硅离去基团的重复单元的光刻胶聚合物、包括氟化锍的光致产氟剂、和溶剂。将所述光刻胶层部分地除去以形成光刻胶图案。使用所述光刻胶图案作为掩模将所述绝缘中间层部分地蚀刻以形成所述接触通过其暴露的开口。在所述开口中形成配线以电连接至所述接触。
根据本发明构思的另一方面,提供中间产品,其包括:半导体基底、在所述半导体基底上的对象层、和在所述对象层上的光刻胶层。所述光刻胶层包括光刻胶组合物,且所述光刻胶组合物包括:包括结合有含硅离去基团的重复单元的光刻胶聚合物、包括氟化锍的光致产氟剂、和溶剂。
附图说明
由结合表示如本文中描述的非限制性实例实施方式的附图考虑的随后的详细描述,本发明构思的以上和其它方面将变得容易明晰。
图1-6为在描述根据实例实施方式的形成图案的方法时供参考的横截面图。
图7-13为在描述根据实例实施方式的制造图案化器件的方法时供参考的横截面图;和
图14-35为在描述根据实例实施方式的制造半导体器件的方法时供参考的顶部俯视图和横截面图。
具体实施方式
下文中将参考其中示出了一些实例实施方式的附图更充分地描述多种实例实施方式。然而,本发明构思可以许多不同的形式体现并且不应被解释为限于本文中阐述的实例实施方式。相反,提供这些实例实施方式使得该描述将是彻底和完整的,并且将本发明构思的范围充分地传达给本领域技术人员。在附图中,为了清楚,可放大层和区域的尺寸和相对尺寸。
将理解,当一个元件(要素)或层被称为“在”另外的元件或层“上”、“连接至”或者“结合至”另外的元件或层时,其可直接在所述另外的元件或层上、连接至或者结合至所述另外的元件或层,或者可存在中间元件或层。相反,当一个元件被称为“直接在”另外的元件或层“上”、“直接连接至”或“直接结合至”另外的元件或层时,则不存在中间元件或层。类似的附图标记始终是指类似的元件。如本文中使用的,术语“和/或”包括相关列举项目的一个或多个的任意和全部组合。
将理解,尽管术语第一、第二、第三、第四等可在本文中用于描述各种元件、组分、区域、层、和/或部分,但这些元件、组分、区域、层、和/或部分不应受这些术语限制。这些术语仅用于将一个元件、组分、区域、层或部分区别于另外的元件、组分、区域、层或部分。因此,在不背离本发明构思的教导的情况下,下面讨论的第一元件、组分、区域、层或部分可称为第二元件、组分、区域、层或部分。
为了便于描述,在本文中可使用空间上相对的术语例如“在……之下”、“在……下面”、“下部”、“在……上方”、“上部”等来描述如图中所示的一个元件或特征与另外的元件或特征的关系。将理解,除图中所描绘的方位之外,空间相对术语还意图包括在使用或操作中的器件的不同方位。例如,如果将图中的器件翻转,被描述为“在”另外的元件或特征“下面”或“之下”的元件则将被定向在所述另外的元件或特征“上方”。因此,示例性术语“在……下面”可包括在……上方和在……下面两种方位。器件可以其它方式定向(旋转90度或在其它方位上),并且本文中所使用的空间上相关的描述词相应地进行解释。
本文中使用的术语仅为了描述具体实施方式的目的且不意图为对本发明构思为限制性的。如本文中使用的,单数形式“一个(种)(a,an)”和“所述(该)”也意图包括复数形式,除非上下文清楚地另外指明。将进一步理解,当用于本说明书中时,术语“包括”和/或“包含”表明存在所陈述的特征、整体、步骤、操作、元件、和/或组分,但是不排除存在或添加一个或多个其它特征、整体、步骤、操作、元件、组分和/或其集合。
在本文中参考作为理想化实例实施方式(和中间结构)的示意图的横截面图描述实例实施方式。这样,将预计到作为例如制造技术和/或公差的结果的与图的形状的偏差。因而,实例实施方式不应被解释为限于本文中所图示的区域的特定形状,而是包括由例如制造所导致的形状方面的偏差。例如,被图示为矩形的注入区域将典型地具有圆形的或者弯曲的特征和/或在其边缘处的注入浓度梯度而不是从注入区域到非注入区域的二元变化。同样地,通过注入形成的包埋区域可在包埋区域和通过其发生注入的表面之间的区域中导致一些注入。因此,图中所示的区域在本质上是示意性的,并且它们的形状不意图图示器件的区域的实际形状且不意图限制本发明构思的范围。
除非另外定义,在本文中所使用的所有术语(包括技术和科学术语)的含义与本发明构思所属领域的普通技术人员通常理解的相同。将进一步理解,术语,例如在常用字典中定义的那些,应被解释为具有与它们在相关领域背景中的含义一致的含义,并且将不以理想化或过于形式的意义进行解释,除非在本文中清楚地如此定义。
虽然可能未显示一些横截面图的对应的俯视图和/或透视图,但是本文中所图示的器件结构的横截面图为多个器件结构提供支持,所述多个器件结构沿着如在俯视图中图示的两个不同的方向和/或以如在透视图中图示的三个不同的方向延伸。所述两个不同的方向可为或可不为彼此正交的。所述三个不同的方向可包括可与所述两个不同的方向正交的第三个方向。所述多个器件结构可集成于相同的电子器件中。例如,当器件结构(例如,存储单元结构或晶体管结构)以横截面图进行图示时,电子器件可包括多个所述器件结构(例如,存储单元结构或晶体管结构),如通过电子器件的俯视图所图示的。所述多个器件结构可以阵列和/或以二维图案布置。
根据实例实施方式的光刻胶组合物可用于光刻工艺中以形成例如半导体器件中包括的绝缘图案、栅电极和/或配线结构。在一些实例实施方式中,所述光刻胶组合物可呈现出对极紫外(EUV)光源的敏感性。
在实例实施方式中,所述光刻胶组合物包括光刻胶聚合物、光致产氟剂和溶剂。在一些其它实例实施方式中,所述光刻胶组合物进一步包括敏化剂。
通过所述光致产氟剂和由例如EUV光源产生的光子之间的反应,可产生氟离子(F-)。
在实例实施方式中,所述光致产氟剂包括其中锍离子和作为抗衡离子的氟离子可结合的氟化锍。
例如,所述光致产氟剂的结构可由以下化学式1表示。
化学式1
在以上化学式1中,R1、R2和R3可独立地为氢、C1-C20脂族烃基、或者包括氮(N)、氧(O)和卤素的至少一种的C1-C20杂脂族烃基。此处以及在整个本公开内容中,术语“独立地”意指一种要素或成分的选择不依赖于其它要素或成分的选择。因此,所列出的要素或成分全都不、有一些、或者全部可彼此相同。例如,在化学式1的情况中,R1、R2和R3全部可彼此相同,R1、R2和R3的两个可彼此相同,或者R1、R2和R3全都不可彼此相同。
在所述锍离子中,通过与光子的光化学反应,在与硫(S)结合的苯基环的至少一个中可发生重排反应。通过得自所述重排反应的电子转移,可由所述锍离子产生质子(H+)。所述质子可与氟离子结合以产生氟酸(HF)。
如上所述,所述锍离子可通过所述重排反应而被稳定化,使得即使通过相对少量的光子也可促进氟离子或HF的产生。
在实例实施方式中,所述光刻胶聚合物可包括可形成主链并且可包括含硅离去基团的重复单元。
所述主链可包括光刻胶材料中包括的碳链。例如,所述主链可包括聚合物链例如线型酚醛清漆、聚苯乙烯、聚羟基苯乙烯(PHS)、聚丙烯酸酯、聚甲基丙烯酸酯、聚乙烯基酯、聚乙烯基醚、聚烯烃、聚降冰片烯、聚酯、聚酰胺、聚碳酸酯等。在实例实施方式中,使用线型酚醛清漆、聚苯乙烯、PHS或聚丙烯酸酯作为所述主链。
所述含硅离去基团可包括例如甲硅烷基。例如,所述含硅离去基团可包括三甲基甲硅烷基(TMS)、叔丁基二甲基甲硅烷基(TBDMS)、三异丙基甲硅烷基(TIPS)、叔丁基二苯基甲硅烷基(TBDPS)或其组合。
所述含硅离去基团可经由连接体基团结合至所述主链。在一些实施方式中,所述连接体基团可包括酯基团。
例如,所述包括含硅离去基团的重复单元的结构可由以下化学式2或化学式3表示。
化学式2
化学式3
在以上化学式2和3中,R4、R5和R6可独立地为氢、C1-C20烷基、C3-C20环烷基或者C6-C30芳族基团。R4、R5和R6可彼此相同或不同。化学式3的X可表示选自苯乙烯、羟基苯乙烯、丙烯酸酯、苯、羟基苯、C1-C6亚烷基、C6-C30亚芳基、羰基、氧基、C2-C30不饱和脂族基团或其组合的二价基团。与之前阐述的“独立地”的定义一致,R4、R5和R6的两个或更多个可彼此相同,或者R4、R5和R6可全部彼此不同。R9可为氢或甲基。
在实例实施方式中,可由通过所述光致产氟剂形成的HF产生氟离子(F-)。氟离子可进攻所述可包括含硅离去基团的重复单元的硅原子,使得所述含硅离去基团可从所述重复单元分离或者脱保护。由HF产生的质子(H+)可被捕捉在所述含硅离去基团可从其分离的位点处以产生羟基或者羧基。因此,所述光刻胶聚合物的曝光部分可具有提高的亲水性和/或极性。
在一些实例实施方式中,所述含硅离去基团与至少两个连接体基团结合。例如,所述含硅离去基团可连接至两个酯基团。
在此情况下,所述包括含硅离去基团的重复单元的结构可由以下化学式4表示。
化学式4
在以上化学式4中,R7和R8可独立地为氢、C1-C20烷基、C3-C20环烷基或者C6-C30芳族基团,且n可代表1-20的自然数。R10和R11可独立地为氢或甲基。与之前阐述的“独立地”的定义一致,R7和R8可彼此相同或不同。
如上所述,由所述光致产氟剂产生的氟离子可进攻由化学式4表示的重复单元的硅原子以产生两个羟基或者羧基。因此,可进一步提高所述曝光部分的亲水性和/或极性。
可添加敏化剂以放大通过由光源引入的光子所致的氟离子的数量。
在实例实施方式中,所述敏化剂包括包含氟取代基的芳族化合物。在一些其它实例实施方式中,所述敏化剂进一步包括包含未共享电子对的取代基。
在一些实例实施方式中,所述敏化剂的结构由以下化学式5表示。
化学式5
在以上化学式5中,F表示氟取代基。氟取代基的数量可为在1和5之间(包括两个端点)的整数。Y表示包含未共享电子对的取代基,并且可包括羟基、烷氧基、硫醇基或者氨基。
在一些实施方式中,所述敏化剂可由以下化学式6表示。
化学式6
当将所述敏化剂暴露于来自光源的光子时,例如,可通过所述羟基中包括的未共享电子对和苯环形成共振稳定化结构。通过所述共振稳定化可产生二次电子以释放氟离子。
在一些实例实施方式中,从一分子的敏化剂释放出多个氟离子。因此,在利用所述光刻胶组合物的光刻工艺中的敏感性可进一步增强。
在一些实例实施方式中,所述敏化剂结合至所述光刻胶聚合物的主链作为敏化剂重复单元。所述敏化剂重复单元可包括1-4个氟取代基。例如,所述敏化剂重复单元可由以下化学式7表示。
化学式7
所述溶剂可包括呈现出对聚合物材料而言有利的溶解性、和对于形成均匀的光刻胶层而言有利的涂覆性(例如,良好的涂覆特性)的有机溶剂。所述溶剂的非限制性实例包括环己酮、环戊酮、四氢呋喃(THF)、二甲基甲酰胺、丙二醇单甲醚乙酸酯(PGMEA)、乳酸乙酯、甲乙酮、苯或者甲苯。这些可单独地或者以其两种或更多种的组合使用。
所述光刻胶组合物可进一步包括用于改善由所述光刻胶组合物形成的光刻胶层的化学和物理性质的添加剂。所述添加剂可包括例如表面活性剂、流平剂、粘度改进剂等。
在实例实施方式中,所述光刻胶组合物包括在约5重量%(wt%)-约20wt%的范围内的所述光刻胶聚合物、在约0.1wt%-约5wt%的范围内的所述光致产氟剂、在约0.01wt%-约1wt%的范围内的所述敏化剂、在约0.01wt%-约1wt%的范围内的所述添加剂、和在约75wt%-约94wt%的范围内的所述溶剂,基于所述组合物的总重量。然而,本发明构思不限于这些组成范围。
在实例实施方式中,所述光刻胶组合物不包括光致产酸剂(PAG)。在此情况下,可实质上防止或者减少由来自PAG的酸的无规律扩散导致的光刻胶图案的缺陷。
根据实例实施方式的光刻胶组合物可被称为正型组合物。例如,当可对由所述组合物形成的光刻胶层进行曝光工艺时,在曝光部分处可由所述光致产氟剂产生活性的氟例如氟离子。所述光刻胶聚合物的含硅离去基团可通过所述活性的氟除去。在所述含硅离去基团从其除去的位点处可产生羟基或者羧基。因此,曝光部分可具有比未曝光部分的那些大的亲水性和/或溶解性。因此,可通过蚀刻工艺或者显影工艺选择性地除去曝光部分以形成光刻胶图案。
根据如上所述的实例实施方式,光刻胶组合物包括光致产氟剂。所述光致产氟剂可产生活性的氟例如氟离子,而不是使用PAG来产生其移动性和扩散不容易控制的酸。因此,可由所述光刻胶组合物形成具有期望的(或者预定的)线宽和/或节距的光刻胶图案。此外,可使用氟化锍作为所述光致产氟剂以促进活性的氟的产生,和可添加敏化剂以实现具有高的敏感性的光刻体系。
图1-6是在描述根据实例实施方式的制造图案化器件的方法时供参考的横截面图。在图1-6的实例中,利用以上描述的之前实例实施方式的光刻胶组合物获得图案化器件。
参考图1,可在基底100上形成对象层110。基底100可为半导体基底(例如完全由半导体材料形成的基底、或者绝缘体上半导体基底)。例如,基底100可包括硅基底、锗基底、硅-锗基底、绝缘体上硅(SOI)基底或者绝缘体上锗(GOI)基底。在实例实施方式中,基底100包括第III-V族化合物例如GaP、GaAs或者GaSb。
可将图像从光刻胶图案转印至对象层110,使得可将对象层110转化为期望的(或预定的)图案。在一些实施方式中,对象层110可由绝缘材料例如氧化硅、氮化硅或者氮氧化硅形成。在一些实施方式中,对象层110可由导电材料例如金属、金属氮化物、金属硅化物、或者金属硅氮化物形成。在一些实施方式中,对象层110可由半导体材料例如多晶硅形成。
对象层110可通过沉积工艺、旋涂工艺和溅射工艺的至少一种形成。例如,对象层110可使用如下的至少一种形成:化学气相沉积(CVD)工艺、等离子体增强化学气相沉积(PECVD)工艺、低压化学气相沉积(LPCVD)工艺、高密度等离子体化学气相沉积(HDP-CVD)工艺、原子层沉积(ALD)工艺、或者物理气相沉积(PVD)工艺。
参考图2,可在对象层110上顺序地形成下部覆盖层120和光刻胶层130。
下部覆盖层120可起到平坦化层或者用于改善光刻胶层130和对象层110之间的粘附的粘附层的作用。在一些实施方式中,下部覆盖层120可作为基于有机物的或者基于无机物的抗反射层形成。在一些实施方式中,下部覆盖层120由与如上所述的光刻胶聚合物基本上相同或者类似的聚合物形成。
在一些实施方式中,省略下部覆盖层120的形成。
可将根据如上所述的实例实施方式的光刻胶组合物通过例如旋涂工艺涂覆在下部覆盖层120上,并且可通过软烘工艺初步固化以形成光刻胶层130。
根据上述实例实施方式,所述光刻胶组合物包括光刻胶聚合物、光致产氟剂和溶剂。在一些其它实例实施方式中,所述光刻胶组合物进一步包括敏化剂。
参考图3,可对光刻胶层130进行曝光工艺。
在实例实施方式中,将曝光掩模140置于光刻胶层130上,并且可通过曝光掩模140中包括的开口或者透光部分照射光。曝光工艺中使用的光源的非限制性实例可包括ArF、KrF、电子束、I-线或者EUV。在实例实施方式中,在曝光工艺中使用EUV光源。
光刻胶层130可被划分成曝光部分133和未曝光部分135。在实例实施方式中,曝光部分133中的化学结构通过接下来描述的反应方案机理而改变。然而,本发明构思不受划分曝光部分133和未曝光部分135的反应机理限制。
例如,在该反应方案中,含硅离去基团可如由化学式4所表示地经由两个酯基团连接至光刻胶聚合物的主链,并且敏化剂重复单元可如由化学式7所表示地也连接至光刻胶聚合物的主链。
反应方案
参考反应方案,当可使用EUV光源进行曝光工艺时,在操作S10中,在起到光致产氟剂作用的氟化锍中可发生苯基环的重排。因此,一个苯基环可替代另一相邻苯基环的氢以产生HF。
在所述敏化剂重复单元中,通过由EUV光源引入的光子,可在羟基的未共享电子对和苯环中发生共振稳定化以产生二次电子。因此,由敏化剂重复单元可产生至少一个氟离子(F-)。
在操作S20中,由HF产生的氟离子和/或由敏化剂重复单元产生的氟离子可进攻所述含硅离去基团的硅原子。例如,所述硅原子可与两个氟离子结合以从所述光刻胶聚合物分离或者脱保护。
在操作S30中,可从其除去含硅离去基团的酯基团可接受来自通过氟化锍形成的HF的质子(H+),使得可形成羧酸。因此,曝光部分133可具有比未曝光部分135的那些大的亲水性和/或极性。
如参考以上反应方案所描述的,由一个含硅离去基团可产生两个羧酸,并且因此,曝光部分133的亲水性和/或极性可进一步提高。
所述EUV光源可具有相对小的波长,并且对于形成细节距且窄线宽的图案可为有利的。使用EUV光源,曝光工艺可通过降低的功率进行。然而,当EUV光源的功率变得较小时,光子的量也可减少从而导致在光刻工艺中的敏感性的降低。
然而,根据如上所述的实例实施方式,由于在光致产氟剂中发生的重排反应,即使通过相对少量的光子也可产生活性的氟例如氟离子。由敏化剂或者敏化剂重复单元通过共振稳定化可进一步产生氟离子。因此,可实现具有高的敏感性的光刻工艺体系。通过不是由常规的PAG产生酸,可避免由所述酸的无规律移动性和/或所述酸向未曝光部分135的扩散导致的分辨率的降低和图案缺陷。
参考图4,可选择性地除去光刻胶层130的曝光部分133。因此,可通过残留在对象层110或者下部覆盖层120上的未曝光部分135限定光刻胶图案150。
在实例实施方式中,使用显影剂溶液例如基于醇的溶液、或者基于氢氧化物的溶液(包括例如氢氧化四甲基铵(TMAH))选择性地除去曝光部分133。
如参考以上反应方案所描述的,曝光部分133可转化为相对于未曝光部分135可为显著极性的和/或亲水性的图案。因此,曝光部分133相对于未曝光部分135可具有高的对显影剂溶液的溶解性,并且因此可通过显影剂溶液例如TMAH而被选择性地除去。
在一些实施方式中,可将曝光部分133通过干法蚀刻工艺除去。所述干法蚀刻工艺可包括使用例如氧气的反应性离子蚀刻(RIE)工艺或者等离子体蚀刻工艺。
曝光部分133,如上所述,可包括高度亲水性的和/或极性的基团例如羧酸基团。因此,曝光部分133可具有相对高的对等离子体蚀刻工艺或者RIE工艺的亲和性。因此,可以高的相对于未曝光部分135的蚀刻选择性选择性地除去曝光部分133。
在一些实施方式中,在除去曝光部分133之后可进行硬烘烤工艺以由未曝光部分135形成光刻胶图案150。
参考图5,可使用光刻胶图案150作为蚀刻掩模蚀刻下部覆盖层120和对象层110。因此,可在光刻胶图案150和基底100之间形成下部覆盖图案125和目标图案115。
所述蚀刻工艺可包括干法蚀刻工艺和/或湿法蚀刻工艺,其是考虑到光刻胶图案150和对象层110之间的蚀刻选择性而适当地选择的。
在一些实施方式中,所述干法蚀刻工艺可包括等离子体蚀刻工艺。
在一些实施方式中,当进行湿法蚀刻工艺时,可取决于对象层110中包括的材料而选择合适的蚀刻剂溶液例如氟酸、磷酸、硫酸或过氧化物。
在一些实例实施方式中,在例如用于除去曝光部分133的显影工艺期间部分地除去下部覆盖层120以形成下部覆盖图案125。例如,曝光部分133中产生的氟离子可扩散至下部覆盖层120的在曝光部分133下方的部分。因此,当除去曝光部分133时,下部覆盖层120的在曝光部分133下方的部分可同时被除去。
图2-5表示在制造图案化器件的过程中的中间产品的实例实施方式。此处,中间产品包括基底100、对象层110、下部覆盖层120、和光刻胶层130(或135或150)。然而,在一些实施方式中,如之前所提及的,省略下部覆盖层120的形成。
参考图6,可将光刻胶图案150和下部覆盖图案125除去,使得目标图案115可残留在基底100上。
在实例实施方式中,通过灰化工艺和/或剥离工艺将光刻胶图案150和下部覆盖图案125除去。在一些其它实施方式中,通过平坦化工艺例如化学机械抛光(CMP)工艺将光刻胶图案150和下部覆盖图案125除去。
如果对象层110包括导电材料,则目标图案115可充当半导体器件的配线、接触、焊盘、塞(plug)、互连结构等。
如果对象层110包括绝缘材料,则目标图案115可充当期望的(或预定的)绝缘图案例如绝缘中间层图案、填充绝缘图案等。在一些实施方式中,对象层110的通过上述光刻工艺除去的部分可转化为包括在绝缘图案中的接触孔、开口或者沟槽。
图7-13为说明根据实例实施方式的形成图案的方法的横截面图。
例如,图7-13说明利用上述光刻胶组合物形成配线结构的方法。此处省略关于与参考图1-6说明的那些基本上相同或者类似的工艺和/或材料的详细描述。
参考图7,可形成延伸通过下部绝缘层210的下部接触215。可在下部绝缘层210中形成多个下部接触215。
在实例实施方式中,在钝化层200上形成下部绝缘层210,并且可形成延伸通过下部绝缘层210和钝化层200的接触孔。可通过经由沉积工艺或者电镀工艺在所述接触孔中填充导电层而形成下部接触215。
在一些实施方式中,可实施根据如参照图1-6描述的实例实施方式的形成图案的方法以使用下部绝缘层210作为对象层形成所述接触孔。
下部绝缘层210可由绝缘材料例如氧化硅或者氮氧化硅形成。例如,下部绝缘层210可由基于氧化硅的材料例如等离子体增强氧化物(PEOX)、原硅酸四乙酯(TEOS)、硅酸盐玻璃等形成。
钝化层200可由氮化硅形成。所述导电层可由金属例如铝(Al)、钨(W)或者铜(Cu),金属氮化物,金属硅化物和/或掺杂多晶硅形成。
在一些实施方式中,下部接触215可电连接至形成于半导体基底上的下部配线或者电路器件。通过钝化层200,可限制和/或防止在形成所述接触孔时电路器件或者下部配线的损坏。
可在下部绝缘层210上形成第一蚀刻停止层220以覆盖下部接触215。第一蚀刻停止层220可由氮化硅或者氮氧化硅形成。例如,第一蚀刻停止层220可通过例如CVD工艺、PECVD工艺、溅射工艺或者ALD工艺形成。
参考图8,可在第一蚀刻停止层220上顺序地形成绝缘中间层225、缓冲层230和第二蚀刻停止层235。
例如,绝缘中间层225可由上述基于氧化硅的材料、或者低介电(低k)氧化物例如聚硅氧烷或者硅倍半氧烷(倍半硅氧烷)形成。缓冲层230和第二蚀刻停止层235可分别由例如氮氧化硅和氮化硅形成。通过缓冲层230,可减轻或者吸收由第二蚀刻停止层235产生的应力。
绝缘中间层225、缓冲层230和第二蚀刻停止层235可通过沉积工艺例如CVD工艺、PECVD工艺,或者溅射工艺例如离子束溅射工艺,或者旋涂工艺等形成。
参考图9,可在第二蚀刻停止层235上形成光刻胶层240。
光刻胶层240,如图2中所描述的,可使用根据如上所述的实例实施方式的光刻胶组合物形成。在一些实施方式中,可进一步在形成光刻胶层240之前形成下部覆盖层。
所述光刻胶组合物可包括光刻胶聚合物、光致产氟剂和溶剂。在一些实例实施方式中,所述光刻胶组合物进一步包括敏化剂。
所述光致产氟剂可包括如由例如以上化学式1表示的氟化锍。所述光刻胶聚合物可包括可包括含硅离去基团的重复单元。所述重复单元可由例如以上化学式2或化学式3表示。所述含硅离去基团可经由至少两个连接体基团结合至所述光刻胶聚合物的主链,如由以上化学式4所表示的。
所述敏化剂,如由以上化学式5和6所表示的,可包括芳族化合物,所述芳族化合物包括氟取代基和包含未共享电子对的取代基。在一些实例实施方式中,所述敏化剂作为如由以上化学式7表示的敏化剂重复单元结合至所述光刻胶聚合物。
可涂覆所述光刻胶组合物以形成初步的光刻胶层,和可将所述初步的光刻胶层通过例如软烘烤工艺热固化以形成光刻胶层240。
参考图10,可进行与参照图3和4说明的那些基本上相同或者类似的工艺以形成光刻胶图案250。
在实例实施方式中,进行使用例如EUV光源的曝光工艺以由曝光部分中包括的光致产氟剂产生活性的氟例如氟离子。所述氟离子可转移至所述含硅离去基团。因此,通过例如上述反应方案可诱发光化学反应,使得相对于未曝光部分,曝光部分的亲水性和/或极性可显著提高。另外,通过能够释放氟离子的敏化剂或者敏化剂重复单元,可进一步增强曝光部分中的敏感性。
随后,通过显影工艺或者干法蚀刻工艺将曝光部分选择性地除去,使得可形成光刻胶图案250。
参考图11,可使用光刻胶图案250作为蚀刻掩模部分地且顺序地蚀刻第二蚀刻停止层235、缓冲层230、绝缘中间层225和第一蚀刻停止层220。由此,可形成下部接触215可通过其暴露的开口260。
开口260可通过干法蚀刻工艺形成。开口260可延伸通过绝缘中间层225和第一蚀刻停止层220,并且可至少部分地使下部接触215的上部表面暴露。
在一些实施方式中,开口260可具有各下部接触215可通过其暴露的接触孔形状。在一些实施方式中,开口260可具有多个下部接触215可通过其暴露的线性形状。
参考图12,可形成填充开口260的导电层270。
在实例实施方式中,沿着光刻胶图案250的顶部表面和侧壁、以及开口260的侧壁和底部(或者下部接触215的暴露的上部表面)共形地形成阻挡层265。导电层270可形成于阻挡层265上以充分地填充开口260。
阻挡层265可由金属氮化物例如氮化钛、氮化钽或者氮化钨形成。阻挡层265可限制和/或防止导电层270中的金属成分扩散到绝缘中间层225中。阻挡层265还可为导电层270的形成提供粘附。阻挡层265可通过例如溅射工艺或者ALD工艺形成。
导电层270可通过例如电镀工艺形成。在此情况下,可使用铜靶通过溅射工艺在阻挡层265上共形地形成晶种层。可使用电镀溶液例如硫酸铜溶液在所述晶种层上诱发电化学反应,使得可在所述晶种层上生长或者沉淀包括铜的导电层270。
在一些实施方式中,导电层270可通过溅射工艺使用金属靶例如铜、钨或者铝、或者ALD工艺沉积。
参考图13,可将导电层270和阻挡层265的上部部分平坦化以形成导电图案280。
在实例实施方式中,通过CMP工艺将导电层270和阻挡层265的上部部分平坦化,直至绝缘中间层225的顶部表面被暴露。光刻胶图案250、第二蚀刻停止层235和缓冲层230也可通过平坦化工艺除去。
因此,可在开口260中形成电连接至下部接触215的导电图案280。导电图案280可包括形成于开口260的侧壁和底部上的阻挡图案267、和填充开口260的在阻挡图案267上的剩余部分的导电填充图案275。
图12和13说明通过平坦化工艺将光刻胶图案250除去以形成导电图案280。然而,光刻胶图案250可在形成开口260之后和在形成阻挡层265之前除去。例如,在形成开口260之后,可通过灰化工艺和/或剥离工艺除去光刻胶图案250。
在一些实施方式中,可进一步在绝缘中间层225上形成电连接至导电图案280的配线。例如,可在绝缘中间层225和导电图案280上形成金属层。可利用如上所述的根据实例实施方式的光刻胶组合物通过光刻工艺将所述金属层图案化以形成所述配线。
图14-35为说明根据实例实施方式的制造半导体器件的方法的顶部俯视图和横截面图。例如,图14-35说明制造逻辑半导体器件的方法。例如,所述逻辑半导体器件可包括鳍式场效应晶体管(FinFET)。
具体地,图14、16和22为说明所述方法的顶部俯视图。图15、17和18为沿着所述顶部俯视图中所示的线I-I’所取的横截面图。图20、24、26和30包括沿着所述顶部俯视图中所示的线I-I’和II-II’所取的横截面图。图19、21、23、25、27-29、和31-35为沿着所述顶部俯视图中所示的线III-III’所取的横截面图。
在图14-35中可将基本上平行于基底的顶部表面并且彼此相交的两个方向定义为第一方向和第二方向。例如,第一和第二方向可彼此垂直。由箭头所指示的方向和其反方向被认为是相同的方向。
参考图14和15,可形成从基底300突出的活性图案305。
基底300可包括半导体材料例如Si、Ge、Si-Ge、或者第III-V族化合物例如InP、GaP、GaAs、GaSb等。在一些实施方式中,基底300可包括SOI基底或者GOI基底。
在实例实施方式中,通过浅沟槽隔离(STI)工艺形成活性图案305。例如,可部分地蚀刻基底300的上部部分以形成隔离沟槽,然后可在基底300上形成充分填充所述隔离沟槽的绝缘层。可将所述绝缘层的上部部分通过例如CMP工艺平坦化直至可暴露基底300的顶部表面,以形成隔离层302。所述绝缘层可由例如氧化硅形成。
可由基底300形成通过隔离层302限定的多个突起。所述突起可被定义为活性图案305。活性图案305可以第一方向线性延伸,并且可沿着第二方向形成多个活性图案305。
在一些实施方式中,可进行离子注入工艺以在活性图案305的上部部分处形成阱。
在一些实施方式中,活性图案305可由另外的沟道层形成。在此情况下,可在基底300上通过例如选择性外延生长(SEG)工艺形成所述沟道层,且可对所述沟道层进行STI工艺以形成活性图案305。
参考图16和17,可将隔离层302的上部部分通过例如回蚀工艺除去,使得可暴露活性图案305的上部部分。可将从隔离层302的顶部表面暴露的活性图案305的上部部分定义为活性鳍307。活性鳍307可以第一方向延伸,并且多个活性鳍207可沿着第二方向布置。
参考图18和19,可在活性鳍307和隔离层302上顺序地形成虚拟栅绝缘层310、虚拟栅电极层312和虚拟栅掩模层316。可在虚拟栅掩模层316上形成第一光刻胶层320。
所述虚拟栅绝缘层可由氧化硅形成。所述虚拟栅电极层可由多晶硅形成。所述虚拟栅掩模层可由氮化硅形成。所述虚拟栅绝缘层、虚拟栅电极层和虚拟栅掩模层可通过CVD工艺、溅射工艺或者ALD工艺形成。
第一光刻胶层320,如图2中所描述的,可使用根据实例实施方式的光刻胶组合物形成。在一些实施方式中,进一步在形成第一光刻胶层320之前形成下部覆盖层。
所述光刻胶组合物可包括光刻胶聚合物、光致产氟剂和溶剂。在一些实例实施方式中,所述光刻胶组合物进一步包括敏化剂。
所述光致产氟剂可包括如由例如以上化学式1表示的氟化锍。所述光刻胶聚合物可包括可包含含硅离去基团的重复单元。所述重复单元可由例如以上化学式2或化学式3表示。所述含硅离去基团可经由至少两个连接体基团结合至所述光刻胶聚合物的主链,如由以上化学式4所表示的。
所述敏化剂,如由以上化学式5和6所表示的,可包括芳族化合物,所述芳族化合物包括氟取代基和包含未共享电子对的取代基。在一些实例实施方式中,所述敏化剂作为如由以上化学式7表示的敏化剂重复单元结合至所述光刻胶聚合物。
可通过涂覆所述光刻胶组合物形成初步的光刻胶层,然后可对其进行热固化工艺例如软烘烤工艺以形成第一光刻胶层320。
参考图20和21,可通过光刻工艺使用第一光刻胶层320作为掩模形成虚拟栅结构体319。
在实例实施方式中,进行与参考图3和4描述的那些基本上相同或类似的工艺以形成第一光刻胶图案325。
例如,可进行使用例如EUV光源的曝光工艺以由曝光部分中包括的光致产氟剂产生活性的氟例如氟离子。所述氟离子可转移至所述含硅离去基团。因此,通过例如以上反应方案可诱发光化学反应,使得相对于未曝光部分,曝光部分的亲水性和/或极性可显著提高。另外,通过能够释放氟离子的敏化剂或者敏化剂重复单元,可进一步增强曝光部分中的敏感性。
可通过显影工艺或者干法蚀刻工艺选择性地除去曝光部分以形成第一光刻胶图案325。
可使用第一光刻胶图案325作为蚀刻掩模顺序地蚀刻虚拟栅掩模层316、虚拟栅电极层312和虚拟栅绝缘层310。在所述蚀刻工艺之后,可形成包括从活性鳍307和隔离层302起顺序地堆叠的虚拟栅绝缘图案311、虚拟栅电极315和虚拟栅掩模317的虚拟栅结构体319。
虚拟栅结构体319可以第二方向延伸,并且可跨越多个活性鳍307。可沿着第一方向形成多个虚拟栅结构体319。
在形成虚拟栅结构体319之后,可通过灰化工艺和/或剥离工艺除去第一光刻胶图案325。
参考图22和23,可在虚拟栅结构体319的侧壁上形成栅间隔体330。
在实例实施方式中,在虚拟栅结构体319、活性鳍307和隔离层302上形成间隔体层,并且可将所述间隔体层各向异性地蚀刻以形成栅间隔体330。所述间隔体层可由氮化物例如氮化硅、氮氧化硅、碳氮化硅等形成。
如图23中所说明的,栅间隔体330可与虚拟栅结构体319一起以第二方向延伸。
参考图24和25,可蚀刻活性鳍307的与栅间隔体330和/或虚拟栅结构体319相邻的上部部分以形成凹槽335。
在用于形成凹槽335的蚀刻工艺中,栅间隔体330可实质上起到蚀刻掩模的作用。在实例实施方式中,凹槽335的内壁具有基本上“U”形的轮廓,如图25中所示。
在一些实施方式中,凹槽335可延伸至活性图案305的在隔离层302的顶部表面下面的部分。
参考图26和27,可形成填充凹槽335的源/漏层340。
在实例实施方式中,使用活性鳍307的被凹槽335暴露的顶部表面作为晶种通过SEG工艺形成源/漏层340。
在一些实施方式中,在SEG工艺中,可将n-型杂质来源例如膦(PH3)或p-型杂质来源例如乙硼烷(B2H6)与硅来源例如硅烷一起提供。
可使源/漏层340竖直地和横向地生长成具有例如多边形横截面,如图26中所示。在一些实施方式中,源/漏层340可充分地填充凹槽335以接触栅间隔体330的下部部分。
参考图28,可在活性鳍307和隔离层302上形成覆盖虚拟栅结构体319、栅间隔体330和源/漏层340的下部绝缘层345。可将下部绝缘层345的上部部分通过CMP工艺和/或回蚀工艺平坦化,直至可暴露虚拟栅电极315的顶部表面。
在一些实施方式中,可将虚拟栅掩模317通过CMP工艺除去,并且还可部分地除去栅间隔体330的上部部分。
下部绝缘层345可由例如基于氧化硅的材料通过CVD工艺形成。
参考图29,可将虚拟栅电极315和虚拟栅绝缘图案311除去。因此,可在一对栅间隔体330之间形成使活性鳍307的上部部分暴露的沟槽(未示出)。
可将暴露的活性鳍307热氧化以形成界面层350。可沿着下部绝缘层345的顶部表面、所述沟槽的内壁、以及界面层350和隔离层302的顶部表面形成栅绝缘层352,并且可在栅绝缘层352上形成缓冲层354。可在缓冲层354上形成填充所述沟槽的剩余部分的栅电极层356。
栅绝缘层352可由具有高的介电常数(高k)的金属氧化物例如氧化铪、氧化钽和/或氧化锆形成。可包括缓冲层354以调节栅电极的功函。缓冲层354可由金属氮化物例如氮化钛、氮化钽和/或氮化铝形成。栅电极层356可由具有低电阻的金属例如铝、铜、钨等形成。
栅绝缘层352、缓冲层354和栅电极层356可通过CVD工艺、ALD工艺、PVD工艺等形成。在一些实施方式中,界面层350也可通过沉积工艺例如CVD工艺或者ALD工艺形成。在此情况下,界面层350可具有与栅绝缘层352的轮廓基本上相同或者类似的轮廓。
参考图30和31,可将栅电极层356、缓冲层354和栅绝缘层352的上部部分通过例如CMP工艺平坦化,直至可暴露下部绝缘层345的顶部表面。
在所述平坦化工艺之后,可在所述沟槽中限定出包括界面层350、栅绝缘图案351、缓冲图案353和栅电极357的栅结构体。通过所述栅结构体和源/漏层340可限定具有FinFET结构的PMOS晶体管或者NMOS晶体管。
可在下部绝缘层345、栅间隔体330和所述栅结构体上形成钝化层360。钝化层360可由基于氮化物的材料例如氮化硅或者氮氧化硅通过CVD工艺形成。钝化层360的覆盖所述栅结构体的部分可起到栅掩模的作用。
参考图32,可形成电连接至源/漏层340的活性接触365。
在实例实施方式中,将钝化层360和下部绝缘层345部分地蚀刻以形成源/漏层340可通过其暴露的第一接触孔。
在一些实施方式中,在进行蚀刻工艺以形成第一接触孔时,部分地除去源/漏层340的上部部分。
在实例实施方式中,在源/漏层340的通过所述第一接触孔暴露的上部部分处形成硅化物图案343。例如,可在通过所述第一接触孔暴露的源/漏层340上形成金属层,然后可对其进行热处理例如退火工艺。所述金属层的接触源/漏层340的部分可通过所述热处理转变成金属硅化物。可将所述金属层的未反应部分除去以形成硅化物图案343。
所述金属层可由例如钴或镍形成。硅化物图案343可包括例如硅化钴或者硅化镍。
在一些实施方式中,硅化物图案343可从源/漏层340的顶部表面突出以填充所述第一接触孔的下部部分。
随后,可形成填充所述第一接触孔的活性接触365。例如,可在钝化层360上形成充分地填充所述第一接触孔的导电层。可将所述导电层的上部部分通过CMP工艺平坦化直至可暴露钝化层360的顶部表面,以形成活性接触365。所述导电层可由金属、金属氮化物、金属硅化物或者掺杂的多晶硅形成。
在一些实施方式中,可在所述栅结构体上形成栅接触367。栅接触367可形成穿过钝化层360以与栅电极357的顶部表面接触。
在一些实施方式中,栅接触367和活性接触365可通过基本上相同的蚀刻工艺和沉积工艺形成。例如,使栅电极357的顶部表面暴露的第二接触孔可与所述第一接触孔一起形成穿过钝化层360。所述导电层也可填充所述第二接触孔,并且可在所述第二接触孔中通过CMP工艺形成栅接触367。
随后,可进行用于形成所述逻辑半导体器件的路由电路(布线电路,routingcircuit)的后段工艺(BEOL)过程。
参考图33,可在钝化层360、活性接触365和栅接触367上形成第一绝缘中间层370。可在第一绝缘中间层370上形成第二光刻胶图案375。
在实例实施方式中,在第一绝缘中间层370上由与之前参考图18和19描述的用于形成第一光刻胶层320的那些基本上相同或者类似的材料和工艺形成第二光刻胶层。
所述第二光刻胶层可使用如上所述的根据实例实施方式的光刻胶组合物形成。
随后,可进行与之前参考图3和4描述的那些基本上相同或者类似的工艺以形成第二光刻胶图案375。
例如,可进行使用例如EUV光源的曝光工艺以由所述第二光刻胶层的曝光部分中包括的光致产氟剂产生活性的氟例如氟离子。所述氟离子可转移至光刻胶聚合物的含硅离去基团。由此,通过例如以上反应方案可诱发光化学反应,使得相对于未曝光部分,曝光部分的亲水性和/或极性可显著地提高。另外,通过能够释放氟离子的敏化剂或者敏化剂重复单元可进一步增强曝光部分中的敏感性。
可通过显影工艺或干法蚀刻工艺选择性地除去曝光部分以形成第二光刻胶图案375。
参考图34,可使用第二光刻胶图案375作为蚀刻掩模将第一绝缘中间层370部分地除去以形成活性接触365可通过其暴露的第一开口。可将所述第一开口用导电材料填充以形成电连接至活性接触365的第一配线372。
参考图35,可在第一绝缘中间层370上形成覆盖第一配线372的第二绝缘中间层380。第一和第二绝缘中间层370和380可由基于低k聚硅氧烷或者硅倍半氧烷的氧化物通过CVD工艺、旋涂工艺等形成。
可形成延伸通过第二绝缘中间层380和第一绝缘中间层370的第二开口,使得可暴露栅接触367的顶部表面。所述第二开口可通过与参考图33和34描述的那些基本上相同或者类似的工艺形成。
例如,所述第二开口可使用根据如上所述的实例实施方式的基于光致产氟剂的具有改善的敏感性的光刻工艺体系形成。
可通过将所述第二开口用导电材料填充而形成电连接至栅接触367的贯通接触385。
可在第二绝缘中间层380上形成金属层以覆盖贯通接触385。可将所述金属层图案化以形成电连接至贯通接触385的第二配线390。
第二配线390也可通过根据实例实施方式的基于光致产氟剂的光刻工艺体系形成。
如上所述,根据本发明构思的光刻胶组合物的实例实施方式,使用产生氟离子的光致产氟剂代替光致产酸剂(PAG)。然而,注意,并非本发明构思的所有实施方式都限于没有PAG的光刻胶组合物。即,一些实施方式的光刻胶组合物可没有PAG,而其它实施方式的光刻胶组合物可包括PAG。
所述光致产氟剂可包括氟化锍,并且通过锍基团可促进氟离子的产生。所述氟离子可进攻例如包含于光刻胶聚合物中的含硅离去基团。因此,所述光刻胶聚合物的曝光部分的亲水性和/或极性可提高,并且曝光部分可通过显影工艺而被选择性地除去。此外,可使用能够以光化学方式产生多个氟离子的重复单元或者化合物作为敏化剂以放大氟离子的产生。因此,可在没有酸的干扰的情况下实现具有高的敏感性的光刻工艺体系。
所述光刻胶组合物可用于光刻工艺中以形成具有低于例如约20nm或者约10nm的关键尺寸的精细图案。可使用蚀刻工艺形成多种半导体器件的具有精细尺寸的配线、接触、绝缘图案等。
前文是对实例实施方式的说明并且将不被解释为对其的限制。虽然已经描述了一些实例实施方式,但是本领域技术人员将容易地领会,在没有实质上背离本发明构思的新颖的教导和优点的情况下,在实例实施方式中,许多修改是可能的。因此,意图将所有这样的修改包括在如权利要求中所定义的本发明构思的范围内。在权利要求中,手段加功能分句意图涵盖本文中描述为执行所叙述的功能的结构,并且不仅涵盖结构等同物,而且涵盖等同的结构。因此,将理解,前文是对多种实例实施方式的说明并且将不被解释为限于所公开的具体实例实施方式,并且意图将对所公开的实例实施方式的修改、以及其它实例实施方式包括在所附权利要求的范围内。

Claims (25)

1.光刻胶组合物,其包括:
包括结合有含硅离去基团的重复单元的光刻胶聚合物;
包括氟化锍的光致产氟剂;和
溶剂。
2.如权利要求1所述的光刻胶组合物,其中所述光致产氟剂的结构由化学式1表示:
化学式1
其中R1、R2和R3独立地为氢、C1-C20脂族烃基、或者包括氮(N)、氧(O)和卤素的至少一种的C1-C20杂脂族烃基。
3.如权利要求1所述的光刻胶组合物,其中所述含硅离去基团经由酯基团连接至所述光刻胶聚合物的主链。
4.如权利要求3所述的光刻胶组合物,其中所述包括含硅离去基团的重复单元的结构由化学式2或化学式3表示:
化学式2
化学式3
其中R4、R5和R6独立地为氢、C1-C20烷基、C3-C20环烷基或者C6-C30芳族基团,且R9为氢或甲基,和
X表示选自如下的二价基团:苯乙烯、羟基苯乙烯、丙烯酸酯、苯、羟基苯、C1-C6亚烷基、C6-C30亚芳基、羰基、氧基、C2-C30不饱和脂族基团、或者其两种或更多种的组合。
5.如权利要求1所述的光刻胶组合物,其中所述含硅离去基团经由至少两个连接体基团连接至所述光刻胶聚合物的主链。
6.如权利要求5所述的光刻胶组合物,其中所述连接体基团包括酯基团。
7.如权利要求6所述的光刻胶组合物,其中所述包括含硅离去基团的重复单元的结构由化学式4表示:
化学式4
其中R7和R8独立地为氢、C1-C20烷基、C3-C20环烷基或者C6-C30芳族基团,且R10和R11独立地为氢或甲基,且n表示1-20的自然数。
8.如权利要求1所述的光刻胶组合物,其进一步包括能够产生氟的敏化剂。
9.如权利要求8所述的光刻胶组合物,其中所述敏化剂包括芳族化合物,所述芳族化合物包括氟取代基和包含未共享电子对的取代基。
10.如权利要求9所述的光刻胶组合物,其中所述敏化剂的结构由化学式5表示:
化学式5
其中F表示氟取代基,并且所述氟取代基的数量为在1和5之间的整数,包括两个端点,和
Y表示包含未共享电子对的取代基,且Y包括羟基、烷氧基、硫醇基或者氨基。
11.如权利要求10所述的光刻胶组合物,其中所述敏化剂由化学式6表示:
化学式6
12.如权利要求8所述的光刻胶组合物,其中所述敏化剂结合至所述光刻胶聚合物的主链作为敏化剂重复单元。
13.如权利要求12所述的光刻胶组合物,其中所述敏化剂重复单元的结构由化学式7表示:
化学式7
其中F表示氟取代基。
14.光刻胶组合物,其包括:
包括结合有含硅离去基团的重复单元的光刻胶聚合物;
光致产氟剂;
能够产生氟的敏化剂;和
溶剂。
15.如权利要求14所述的光刻胶组合物,其中所述光致产氟剂包括氟化锍。
16.如权利要求15所述的光刻胶组合物,其中所述氟化锍包括多个芳族取代基,所述芳族取代基结合至硫(S)原子,并且配置成参与重排反应。
17.如权利要求14所述的光刻胶组合物,其中所述敏化剂包括包含氟取代基并且配置成通过共振稳定化而被稳定化的芳族化合物。
18.如权利要求17所述的光刻胶组合物,其中所述敏化剂包括包含氟取代基的羟基芳族化合物。
19.如权利要求17所述的光刻胶组合物,其中所述敏化剂结合至所述光刻胶聚合物的主链作为其重复单元。
20.如权利要求14所述的光刻胶组合物,其中所述含硅离去基团经由酯基团结合至所述光刻胶聚合物。
21.制造图案化器件的方法,其包括:
在基底上形成对象层;
通过用如权利要求1-20任一项所述的光刻胶组合物涂覆所述对象层而在所述对象层上形成光刻胶层;
对所述光刻胶层进行曝光工艺,使得所述光刻胶层包括曝光部分和未曝光部分;
除去所述曝光部分以形成光刻胶图案;和
使用所述光刻胶图案作为掩模将所述对象层图案化。
22.如权利要求21所述的方法,其中进行所述曝光工艺包括通过由所述曝光部分中的光致产氟剂产生的氟离子使所述含硅离去基团从所述光刻胶聚合物分离。
23.如权利要求22所述的方法,其中在从其除去所述曝光部分的含硅离去基团的位点处产生羟基或羧基。
24.如权利要求23所述的方法,其中与所述未曝光部分相比,所述曝光部分是更亲水的或者更极性的。
25.如权利要求24所述的方法,其中除去所述曝光部分包括使用亲水性溶液的显影工艺。
CN201611121178.7A 2015-12-09 2016-12-08 光刻胶组合物和制造图案化器件的方法 Active CN106959586B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2015-0175067 2015-12-09
KR1020150175067A KR102619528B1 (ko) 2015-12-09 2015-12-09 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
CN106959586A true CN106959586A (zh) 2017-07-18
CN106959586B CN106959586B (zh) 2022-01-11

Family

ID=59019946

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611121178.7A Active CN106959586B (zh) 2015-12-09 2016-12-08 光刻胶组合物和制造图案化器件的方法

Country Status (3)

Country Link
US (2) US10234760B2 (zh)
KR (1) KR102619528B1 (zh)
CN (1) CN106959586B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108493305A (zh) * 2018-03-22 2018-09-04 潍坊星泰克微电子材料有限公司 一种图形化蓝宝石衬底的制备方法
TWI696045B (zh) * 2018-05-21 2020-06-11 日商信越化學工業股份有限公司 圖案形成方法
CN114200777A (zh) * 2021-12-21 2022-03-18 中国科学院光电技术研究所 一种方形基片夹持装置

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102619528B1 (ko) * 2015-12-09 2023-12-29 삼성전자주식회사 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
JP7325167B2 (ja) * 2017-03-16 2023-08-14 富士電機株式会社 半導体装置の製造方法
FR3070089B1 (fr) * 2017-08-11 2019-12-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation de regions d'extension dopees dans une structure a nanofils superposes
JP7186187B2 (ja) 2018-02-09 2022-12-08 浜松ホトニクス株式会社 試料支持体、イオン化法及び質量分析方法
CN112242347A (zh) * 2019-07-18 2021-01-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5187244A (en) * 1988-07-22 1993-02-16 Mitsubishi Rayon Co., Ltd. Preparation process of block copolymers and resulting block copolymers
US5856071A (en) * 1993-09-24 1999-01-05 Fujitsu Limited Resist material including si-containing resist having acid removable group combined with photo-acid generator
US20020182535A1 (en) * 2000-11-15 2002-12-05 Katsumi Maeda Photoacid generator containing two kinds of sulfonium salt compound, chemically amplified resist containing the same and pattern transfer method
EP1628160A2 (en) * 2004-08-19 2006-02-22 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
JP2010208023A (ja) * 2009-03-06 2010-09-24 Canon Inc インクジェットヘッドの製造方法及びインクジェットヘッド
US20110118165A1 (en) * 2009-11-17 2011-05-19 Wai Mun Lee Composition and method for treating semiconductor substrate surface
CN104823109A (zh) * 2012-11-26 2015-08-05 富士胶片株式会社 感光化射线性或感放射线性树脂组合物、图案形成方法、抗蚀剂膜、电子元件的制造方法及电子元件

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3418289A (en) * 1965-10-12 1968-12-24 Du Pont Polymerization of 2,2-dialkyl-3-propiolactones using teritary sulfonium salts as initiators
US3998856A (en) * 1975-04-10 1976-12-21 Hoffmann-La Roche Inc. Preparation of epoxides
US4599393A (en) * 1985-04-29 1986-07-08 General Electric Company Method for polymerizing lactams and products obtained therefrom
US4975222A (en) * 1986-09-23 1990-12-04 Katsumi Yoshino Radiation detecting elements and method of detection
US5254664A (en) * 1991-12-31 1993-10-19 Nippon Shokubai Co., Ltd. Curing composition
US5885745A (en) * 1997-04-28 1999-03-23 Hitachi Chemical Co., Ltd. Photoimageable compositions comprising polyquinoline polymer and photogenerable acid precursor
KR100241492B1 (ko) 1997-10-15 2000-03-02 유현식 포토레지스트의 광산발생제용 4-비닐옥시에톡시페닐술포늄염 및 그 유도체
US6444408B1 (en) * 2000-02-28 2002-09-03 International Business Machines Corporation High silicon content monomers and polymers suitable for 193 nm bilayer resists
JP4319363B2 (ja) * 2001-01-15 2009-08-26 富士フイルム株式会社 ネガ型画像記録材料
KR20020080737A (ko) 2001-04-17 2002-10-26 삼성전자 주식회사 포토레지스트용 중합체, 이의 제조방법 및 이를 사용한포토레지스트조성물
KR20020080736A (ko) 2001-04-17 2002-10-26 삼성전자 주식회사 포토레지스트용 중합체, 이의 제조방법 및 이를 사용한포토레지스트조성물
US6890855B2 (en) * 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
KR20030028103A (ko) 2001-09-27 2003-04-08 삼성전자주식회사 포토레지스트용 중합체 및 이를 사용한 포토레지스트 조성물
US20030235775A1 (en) 2002-06-13 2003-12-25 Munirathna Padmanaban Photoresist composition for deep ultraviolet lithography comprising a mixture of photoactive compounds
US6844139B2 (en) * 2003-01-03 2005-01-18 Kodak Polychrome Graphics, Llc Method for forming a lithographic printing plate
US6919160B2 (en) * 2003-02-20 2005-07-19 Air Products And Chemicals, Inc. Acrylic compounds for sub-200 nm photoresist compositions and methods for making and using same
CN1934233B (zh) * 2003-10-28 2015-02-04 塞克姆公司 清洁溶液和蚀刻剂及其使用方法
JP4524154B2 (ja) * 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
US7175944B2 (en) * 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7326518B2 (en) 2004-11-24 2008-02-05 Rohm And Haas Electronic Materials Llc Photoresist compositions
US7678528B2 (en) 2005-11-16 2010-03-16 Az Electronic Materials Usa Corp. Photoactive compounds
US20070287766A1 (en) * 2006-06-08 2007-12-13 International Business Machines Corporation Easily removable uv degradable paint and process for applying the same
WO2008044741A1 (fr) * 2006-10-12 2008-04-17 Asahi Glass Company, Limited Composition résistante destinée à être utilisée dans un procédé de lithographie utilisant un faisceau à électrons, un rayon x ou une lumière uv extrême
KR20080068231A (ko) * 2007-01-18 2008-07-23 주식회사 하이닉스반도체 2층 포토레지스트용 중합체, 상기 중합체를 함유한포토레지스트 조성물 및 이를 이용한 포토레지스트 패턴의형성 방법
JP5260094B2 (ja) 2007-03-12 2013-08-14 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フェノール系ポリマー及びこれを含有するフォトレジスト
CN101952269B (zh) 2007-10-10 2014-06-25 巴斯夫欧洲公司 锍盐引发剂
US20090107520A1 (en) * 2007-10-29 2009-04-30 Wai Mun Lee Amidoxime compounds as chelating agents in semiconductor processes
EP2495234B1 (en) 2009-10-26 2018-06-06 Adeka Corporation Aromatic sulfonium salt compound
US9454076B2 (en) 2012-03-16 2016-09-27 Institute Of Chemistry, Chinese Academy Of Sciences Molecular glass photoresists containing bisphenol a framework and method for preparing the same and use thereof
CN104379628B (zh) 2012-04-19 2016-11-16 巴斯夫欧洲公司 锍化合物、其制备及其用途
US8846295B2 (en) 2012-04-27 2014-09-30 International Business Machines Corporation Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
JP6392753B2 (ja) 2012-07-18 2018-09-19 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung フッ素化界面活性剤
US9029065B2 (en) 2012-10-26 2015-05-12 Rohm And Haas Electronic Materials Llc Photoacid generating compound and photoresist composition comprising same, coated article comprising the photoresist and method of making an article
US8999625B2 (en) 2013-02-14 2015-04-07 International Business Machines Corporation Silicon-containing antireflective coatings including non-polymeric silsesquioxanes
JP6240409B2 (ja) 2013-05-31 2017-11-29 サンアプロ株式会社 スルホニウム塩および光酸発生剤
JP2016531953A (ja) 2013-06-27 2016-10-13 東洋合成工業株式会社 化学種発生向上試剤
EP3063592B1 (en) 2013-10-30 2021-04-07 California Institute of Technology Direct photopatterning of robust and diverse materials
KR102619528B1 (ko) * 2015-12-09 2023-12-29 삼성전자주식회사 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5187244A (en) * 1988-07-22 1993-02-16 Mitsubishi Rayon Co., Ltd. Preparation process of block copolymers and resulting block copolymers
US5856071A (en) * 1993-09-24 1999-01-05 Fujitsu Limited Resist material including si-containing resist having acid removable group combined with photo-acid generator
US20020182535A1 (en) * 2000-11-15 2002-12-05 Katsumi Maeda Photoacid generator containing two kinds of sulfonium salt compound, chemically amplified resist containing the same and pattern transfer method
EP1628160A2 (en) * 2004-08-19 2006-02-22 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
JP2010208023A (ja) * 2009-03-06 2010-09-24 Canon Inc インクジェットヘッドの製造方法及びインクジェットヘッド
US20110118165A1 (en) * 2009-11-17 2011-05-19 Wai Mun Lee Composition and method for treating semiconductor substrate surface
CN104823109A (zh) * 2012-11-26 2015-08-05 富士胶片株式会社 感光化射线性或感放射线性树脂组合物、图案形成方法、抗蚀剂膜、电子元件的制造方法及电子元件

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108493305A (zh) * 2018-03-22 2018-09-04 潍坊星泰克微电子材料有限公司 一种图形化蓝宝石衬底的制备方法
CN108493305B (zh) * 2018-03-22 2019-08-02 潍坊星泰克微电子材料有限公司 一种图形化蓝宝石衬底的制备方法
TWI696045B (zh) * 2018-05-21 2020-06-11 日商信越化學工業股份有限公司 圖案形成方法
CN114200777A (zh) * 2021-12-21 2022-03-18 中国科学院光电技术研究所 一种方形基片夹持装置
CN114200777B (zh) * 2021-12-21 2023-06-13 中国科学院光电技术研究所 一种方形基片夹持装置

Also Published As

Publication number Publication date
US10234760B2 (en) 2019-03-19
CN106959586B (zh) 2022-01-11
US20190179227A1 (en) 2019-06-13
KR20170068173A (ko) 2017-06-19
US20170168389A1 (en) 2017-06-15
KR102619528B1 (ko) 2023-12-29
US10551738B2 (en) 2020-02-04

Similar Documents

Publication Publication Date Title
CN106959586A (zh) 光刻胶组合物和制造图案化器件的方法
US11854871B2 (en) Semiconductor structure with material modification and low resistance plug
JP5723546B2 (ja) 寄生容量が低減されたsoiボディ・コンタクト型fetのための方法
US20230360923A1 (en) Fabrication of fins using variable spacers
CN106505105B (zh) 半导体器件及其制造方法
JP5230737B2 (ja) 異なる高さの隣接シリコンフィンを製造する方法
US10510856B2 (en) Semiconductor device and method
JP5479908B2 (ja) 半導体構造体及び該半導体構造体を製造する方法
CN106164332A (zh) 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
CN104867975A (zh) 场效应晶体管的接触蚀刻停止层
US20020171118A1 (en) Deep slit isolation with controlled void
KR20100007927A (ko) 피치 더블링 프로세스 중에 어레이 피처를 격리시키는 방법 및 격리된 어레이 피처를 갖는 반도체 장치 구조물
US8809915B2 (en) Gate conductor with a diffusion barrier
CN109768086A (zh) 半导体结构
KR20110071084A (ko) 자가-정렬 트렌치 형성
CN106952910A (zh) 半导体结构及其制造方法
CN107230727A (zh) 制作半导体元件的方法
CN107004595A (zh) 用于化学辅助图案化的光可界定的对准层
CN106531686A (zh) 互连结构和其制造方法及半导体器件
TW201839818A (zh) 積體電路裝置的製造方法
TW202029339A (zh) 積體電路結構及其形成方法
TW201814828A (zh) 具有選擇性嫁接的光桶地板顏色
TWI691020B (zh) 在垂直式finfet的主動區形成閘極接觸的方法以及藉此而形成的結構
TW202046500A (zh) 半導體裝置
US7883965B2 (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant