CN106409913B - 具有连续侧墙的半导体设置及其制造方法 - Google Patents

具有连续侧墙的半导体设置及其制造方法 Download PDF

Info

Publication number
CN106409913B
CN106409913B CN201611033447.4A CN201611033447A CN106409913B CN 106409913 B CN106409913 B CN 106409913B CN 201611033447 A CN201611033447 A CN 201611033447A CN 106409913 B CN106409913 B CN 106409913B
Authority
CN
China
Prior art keywords
grid
dielectric
side wall
substrate
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201611033447.4A
Other languages
English (en)
Other versions
CN106409913A (zh
Inventor
朱慧珑
张严波
钟汇才
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201611033447.4A priority Critical patent/CN106409913B/zh
Priority to US16/461,330 priority patent/US10833086B2/en
Priority to PCT/CN2016/111274 priority patent/WO2018090426A1/zh
Publication of CN106409913A publication Critical patent/CN106409913A/zh
Application granted granted Critical
Publication of CN106409913B publication Critical patent/CN106409913B/zh
Priority to US17/039,770 priority patent/US11251184B2/en
Priority to US17/039,755 priority patent/US11251183B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

公开了具有连续侧墙的半导体设置及其制造方法以及包括这种半导体设置的电子设备。例如,半导体设置可以包括:衬底;在衬底上形成的沿第一方向延伸的多个鳍;在衬底上形成的沿与第一方向交叉的第二方向延伸的多个栅堆叠以及沿第二方向延伸且由电介质构成的伪栅,其中各栅堆叠与至少一个鳍相交;在栅堆叠和伪栅的侧壁上形成的侧墙;以及设于在第二方向上对准的第一栅堆叠和第二栅堆叠之间用以将它们电隔离的电介质,其中,第一栅堆叠和第二栅堆叠的侧墙一体延伸,且该电介质设于第一栅堆叠和第二栅堆叠的一体延伸的侧墙所围绕的空间内,第一栅堆叠和第二栅堆叠在第二方向上的至少一部分间隔小于该半导体设置的制造工艺中光刻所能实现的线间隔。

Description

具有连续侧墙的半导体设置及其制造方法
技术领域
本公开涉及半导体领域,具体地,涉及具有连续侧墙的半导体设置及其制造方法以及包括这种半导体设置的电子设备。
背景技术
随着半导体器件的不断小型化,短沟道效应越来越明显。对此,提出了立体型器件——鳍式场效应晶体管(FinFET)。FinFET通常包括在衬底上的竖直鳍以及与鳍相交的栅堆叠。可以在鳍的侧壁上形成沟道。
为了形成FinFET,可以在衬底上形成各自分别连续延伸的脊状物。根据布局设计,可以将这些连续延伸的脊状物构图为不同的部分,这些部分随后形成器件的鳍。另外,可以在衬底上形成各自分别连续延伸的栅线。根据布局设计,可以将这些连续延伸的栅线构图为分离的部分,这些部分随后形成器件的栅。在栅的侧壁上,可以形成绕器件栅的侧墙。
图1是示出了包括FinFET的常规半导体设置的顶视图。
如图1所示,该半导体设置包括在衬底上沿第一方向(例如,图中水平方向)延伸的多个鳍101以及沿与第一方向相交(例如,垂直)的第二方向(例如,图中竖直方向)延伸的多个栅堆叠103-1。栅堆叠例如可以包括栅介质层和栅电极层。在鳍中与栅堆叠相交之处,可以产生沟道;而在鳍中沟道区的两侧,可以分别形成源区和漏区(由此得到FinFET)。在各个栅堆叠103-1的侧壁上形成了围绕相应栅堆叠103-1的侧墙105。
另外,为了构图方便以及电隔离等目的,还可以形成伪栅103-2。伪栅103-2与栅堆叠103-1可以包括相同的构造,从而可以与栅堆叠103-1一同形成(因此,也可以在伪栅103-2的侧壁上形成绕伪栅103-2的侧墙)。但是,伪栅103-2可以不与连续的鳍相交,从而并不真正构成器件。例如,在图1的示例中,伪栅103-2形成为与第一方向上鳍101之间的间隙相交。
在衬底上各侧墙105之间的空隙中,可以填充有电介质如层间电介质层(ILD)(图中为清楚起见,并未示出),例如氧化物,特别是在后栅工艺的情况下。ILD的顶面例如通过平坦化工艺如化学机械抛光(CMP)而可以与栅堆叠103-1、伪栅103-2、侧墙105的顶面保持大致齐平。
为应对器件小型化的趋势,可以采用自对准接触部技术。例如,可以在ILD中刻蚀接触孔,这种接触孔可以在相对的侧墙之间延伸。然后,可以在衬底上淀积接触材料,例如金属如钨(W),并对其进行平坦化如CMP。CMP可以停止于ILD或侧墙。CMP后接触材料填充于接触孔中,形成接触部107。位于伪栅103-2相对两侧(图中左右两侧)的接触部可以通过该伪栅103-2的侧墙105而被电分离。
但是,这种结构存在以下缺点。在对ILD进行刻蚀(例如,各向同性刻蚀)时,有可能在相对的栅堆叠103-1的相对端部之间的ILD中形成缝隙。这些缝隙中随后可能被填充接触材料,从而造成接触部之间不必要的电短路,如图1中的107X所示。另外,如图1中的箭头所示,伪栅103-2的边缘与鳍101的端部并不是对准的。这会导致栅端部之间的间隔增加,从而降低了集成密度。
需要提供一种新的结构和工艺来至少部分地解决上述问题。
发明内容
有鉴于此,本公开的目的至少部分地在于提供一种半导体设置及其制造方法以及包括这种半导体设置的电子设备,其中在栅的延伸方向上,侧墙可以连续延伸。
根据本公开的一个方面,提供了一种半导体设置,包括:衬底;在衬底上形成的沿第一方向延伸的多个鳍;在衬底上形成的沿与第一方向交叉的第二方向延伸的多个栅堆叠以及沿第二方向延伸且由电介质构成的伪栅,其中各栅堆叠与至少一个鳍相交;在栅堆叠的侧壁以及伪栅的侧壁上形成的侧墙;以及设于在第二方向上对准的第一栅堆叠和第二栅堆叠之间用以将第一栅堆叠和第二栅堆叠电隔离的电介质,其中,第一栅堆叠和第二栅堆叠的侧墙一体延伸,且所述电介质设于第一栅堆叠和第二栅堆叠的一体延伸的侧墙所围绕的空间内,其中,第一栅堆叠和第二栅堆叠在第二方向上的至少一部分间隔小于该半导体设置的制造工艺中光刻所能实现的线间隔。
根据本公开的另一方面,提供了一种制造半导体设置的方法,包括:在衬底上形成各自分别沿第一方向连续延伸的多个脊状物;在衬底上形成各自分别沿与第一方向交叉的第二方向连续延伸从而与所述多个脊状物相交的多条牺牲栅线;在各牺牲栅线的侧壁上形成绕各牺牲栅线的侧墙;在衬底上形成第一电介质,对其进行平坦化以露出牺牲栅线;去除牺牲栅线,以露出下方的脊状物;向侧墙内的空间中填充第二电介质;利用掩模遮蔽一部分第二电介质并露出其余部分的第二电介质,其中,在至少一条牺牲栅线处,掩模覆盖在第二方向上一定尺度的第二电介质;去除露出部分的第二电介质,以露出下方的脊状物;以及在由于所述部分第二电介质的去除而留下的空间中形成栅堆叠,其中,在所述至少一条牺牲栅线处,留有所述尺度的第二电介质,且在留下的第二电介质两侧形成的栅堆叠彼此之间在第二方向上的间隔由所述尺度限定,且因此能够小于该半导体设置的制造工艺中光刻所能实现的线间隔。
根据本公开的另一方面,提供了一种制造半导体设置的方法,包括:在衬底上形成各自分别沿第一方向连续延伸的多个脊状物;在衬底上形成各自分别沿与第一方向交叉的第二方向连续延伸从而与所述多个脊状物相交的多条牺牲栅线;在各牺牲栅线的侧壁上形成绕各牺牲栅线的侧墙;在衬底上形成第一电介质,对其进行平坦化以露出牺牲栅线;去除牺牲栅线,以露出下方的脊状物;向侧墙内的空间中填充第二电介质;利用第一掩模遮蔽一部分的第二电介质并露出第一部分的第二电介质;去除露出的第一部分第二电介质,以露出下方的脊状物;在由于第一部分第二电介质的去除而留下的空间中形成第一栅堆叠;利用第二掩模遮蔽一部分的第二电介质并露出第二部分的第二电介质,其中,在至少一条牺牲栅线处,第一掩模和第二掩模在第二方向上有一定尺度的套准交迭;去除露出的第二部分第二电介质,以露出下方的脊状物;在由于第二部分第二电介质的去除而留下的空间中形成第二栅堆叠,其中,在所述至少一条牺牲栅线处,留有所述尺度的第二电介质,且在留下的第二电介质两侧形成的第一栅堆叠和第二栅堆叠彼此之间的间隔由所述尺度限定,且因此能够小于该半导体设置的制造工艺中光刻所能实现的关键线宽(CD)。
根据本公开的另一方面,提供了一种电子设备,包括上述半导体设置。
根据本公开的实施例,侧墙可以在相对的(伪)栅之间连续延伸,即便这些(伪)栅彼此并不连续,这有助于避免(自对准)接触部之间的电短路。通过利用掩模线条(而不是掩模线条之间的间隔)来限定栅堆叠端部之间的间隔,该间隔的尺度可以小于制造工艺中光刻所能实现的线间隔。另一方面,通过利用掩模之间的套准交迭(而不是掩模线条)来限定栅堆叠端部之间的隔离电介质,从而该隔离电介质的尺度可以小于光刻工艺所能实现的关键线宽(CD)。另外,与伪栅邻接的鳍的端部可以自对准于相应侧墙的内壁,从而有助于提高集成密度。
附图说明
通过以下参照附图对本公开实施例的描述,本公开的上述以及其他目的、特征和优点将更为清楚,在附图中:
图1是示出了包括FinFET的常规设置的顶视图;
图2是示出了根据本公开实施例的半导体设置的顶视图;
图3(a)-23(b)示出了根据本公开实施例的制造半导体设置的流程的示意图;
图24(a)-26示出了根据本公开另一实施例的制造半导体设置的流程中部分阶段的示意图;
图27示出了根据本公开实施例的应力保持机制所能实现的应力增强;
图28(a)-33示出了根据本公开另一实施例的制造半导体设置的流程中部分阶段的示意图。
贯穿附图,相同或相似的附图标记表示相同或相似的部件。
具体实施方式
以下,将参照附图来描述本公开的实施例。但是应该理解,这些描述只是示例性的,而并非要限制本公开的范围。此外,在以下说明中,省略了对公知结构和技术的描述,以避免不必要地混淆本公开的概念。
在附图中示出了根据本公开实施例的各种结构示意图。这些图并非是按比例绘制的,其中为了清楚表达的目的,放大了某些细节,并且可能省略了某些细节。图中所示出的各种区域、层的形状以及它们之间的相对大小、位置关系仅是示例性的,实际中可能由于制造公差或技术限制而有所偏差,并且本领域技术人员根据实际所需可以另外设计具有不同形状、大小、相对位置的区域/层。
在本公开的上下文中,当将一层/元件称作位于另一层/元件“上”时,该层/元件可以直接位于该另一层/元件上,或者它们之间可以存在居中层/元件。另外,如果在一种朝向中一层/元件位于另一层/元件“上”,那么当调转朝向时,该层/元件可以位于该另一层/元件“下”。
根据本公开的实施例,可以在衬底上形成各自分别沿第一方向延伸的脊状物,并在脊状物之上形成各自分别沿与第一方向交叉(例如,大致垂直)的第二方向连续延伸从而与脊状物相交的栅线。之后,可以在各栅线的侧壁上形成围绕相应栅线的侧墙。这样,侧墙可以在栅线(沿第二方向)的长度上连续延伸。在此,可以先按设计布局对脊状物进行构图,然后再形成栅线。或者,可以直接在连续延伸的脊状物上形成栅线,在后继的步骤中再按设计布局对脊状物进行构图,如下所述。以下,将以后一种情况为例进行描述。
此外,由于为了形成连续延伸侧墙而事实上采用的后栅工艺,可以在衬底上形成第一电介质(例如,层间电介质层(ILD))。可以对第一电介质进行平坦化处理,以露出栅线(例如,平坦化可以停止于栅线)。
在形成连续延伸的侧墙之后,可以进行栅线的分离(以及脊状物的分离,如果之前并未分离的话)。例如,可以去除栅线(因此,这种栅线可以称作“牺牲”栅线)。由于牺牲栅线的去除,露出了下方的脊状物。根据布局设计,可以在某些区域处分离脊状物(如果脊状物在形成栅线之前就已经分离,则在此无需进行)。例如,可以经由侧墙所围绕的空间,对露出的一部分脊状物进行选择性刻蚀,从而将相应脊状物分离为不同的部分(在电特性上分离,可能在物理上仍然部分地连续),这些部分随后形成不同器件的鳍。这些部分或者说鳍的端部可以自对准于侧墙的内壁。随后,可以向侧墙内侧的空间中填入第二电介质,使得脊状物的不同部分之间可以彼此电隔离。
根据布局设计,在将要形成真正栅堆叠的位置处,可以去除侧墙内的第二电介质,以露出下方的脊状物或者说鳍。然后,可以在由于第二电介质的去除而留下的空间中形成栅堆叠。于是,栅堆叠可以与下方的鳍相交,并因此构成相应的器件及FinFET。留于侧墙内的第二介质可以形成伪栅。
这样,在第二方向上对准的栅堆叠(占据相同牺牲栅线的位置,且被相同的侧墙所围绕)侧壁上的侧墙可以一体连续延伸,即使这些栅堆叠彼此之间并不连续。这样,就可以避免产生如图1所示的穿过栅端部之间的短路107X。此外,如上所述,鳍的端部可以自对准于相应侧墙的内壁。于是,可以避免如图1所示的由于鳍端部与栅边缘之间的不对准而造成的集成密度降低。
根据本公开的实施例,在去除第二电介质时,通过适当地利用掩模,可以实现栅端部间间隔或者该间隔中所填充的电介质的尺度的减小。例如,可以利用掩模来遮蔽第二电介质。在某些栅端部之间的间隔处,掩模可以遮蔽一定尺度的第二电介质。这样,在利用该掩模对第二电介质进行选择性刻蚀之后,所述尺度的第二电介质可以留下。因此,在该留下的第二电介质相对两侧形成的栅堆叠的端部之间的间隔将由该尺度来限定,并因此可以小于制造工艺中光刻所能实现的线间隔。又如,可以分次使用不同掩模来遮蔽第二电介质的不同部分,以便实现对第二电介质的不同区域分别进行选择性刻蚀。这些掩模之间可以存在套准交迭(overlay)。由于该套准交迭,可以留下一定尺度的第二电介质,该尺度可以小于制造工艺中光刻所能实现的关键线宽(CD)。
图2是示出了根据本公开实施例的半导体设置的顶视图。该半导体设置例如是根据上述工艺制作的。
如图2所示,根据该实施例的半导体设置可以包括在衬底上沿第一方向(例如,图中水平方向)延伸的多个鳍201以及沿与第一方向相交(例如,垂直)的第二方向(例如,图中竖直方向)延伸的多个栅堆叠203-1、203-1′和多个伪栅203-2。栅堆叠203-1、203-1′可以包括栅介质层和栅电极层。另外,伪栅203-2可以包括电介质(例如,上述第二电介质)。在栅堆叠和伪栅的侧壁上,形成有侧墙205。在实际中,侧墙205可以是环形结构。在图2中,为了方便起见,并未示出侧墙205的上、下端部(可以认为它们处于图中所示区域之外)。在相同侧墙205的内侧,各栅堆叠和/或伪栅彼此沿着侧墙的延伸方向(即,第二方向)彼此对准(它们一起占据该侧墙原本围绕的牺牲栅线的位置)。
栅堆叠203-1、203-1′与鳍201相交,从而构成相应的器件即FinFET。在该示例中,由于如图中箭头所示,各鳍201的端部对准于相应侧墙205的内壁,从而伪栅203-2事实上不与鳍相交,且将其相对两侧(图中左右两侧)相对的鳍彼此电隔离。
根据布局设计,相对的栅堆叠203-1和203-1′之间可以设有隔离部203-2′。隔离部203-2′可以与伪栅203-2包括相同的电介质,例如是在上述工艺中由于在该隔离部的区域处保留第二电介质而得到的。
另外,该半导体设置还包括按照自对准方式形成的接触部207。接触部207可以在相邻的侧墙205之间延伸。由于侧墙205连续延伸,同一侧墙205相对两侧的接触部207可以通过该连续延伸的侧墙205而可靠地电隔离。
本公开可以各种形式呈现,以下将描述其中一些示例。
图3(a)-23(b)示出了根据本公开实施例的制造半导体设置的流程的示意图。
如图3(a)、3(b)和3(c)(图3(a)是俯视图,图3(b)是沿图3(a)中AA′线的截面图,图3(c)是沿图3(a)中BB′线的截面图)所示,提供衬底1001。该衬底1001可以是各种形式的衬底,包括但不限于体半导体材料衬底如体Si衬底、绝缘体上半导体(SOI)衬底、化合物半导体衬底如SiGe衬底等。在以下的描述中,为方便说明,以体Si衬底为例进行描述。
在衬底1001中,例如通过离子注入,可以形成各种阱区,如图中所示的p-阱和n-阱。在该示例中,可以在整个衬底区域上形成p-阱,并在一部分p-阱中嵌入n-阱。例如,可以在p-阱上形成n型器件,而可以在n-阱上形成p型器件。
另外,在衬底1001上,形成有沿第一方向(例如,图3(a)和3(b)中的水平方向,图3(c)中垂直于纸面的方向)延伸的脊状物1001F。例如,可以通过对衬底1001进行构图来在衬底1001中形成凹槽,相邻凹槽之间的部分相对于凹槽突出而形成脊状物。当然,也可以在衬底上外延生长其他半导体层,并通过对该半导体层进行构图来形成脊状物。脊状物1001F可以平行延伸,并可以具有相同或者不同的间距和/或宽度。
在衬底1001上可以形成隔离层1009,例如氧化物(如氧化硅),以填充在凹槽中从而围绕脊状物1001F的底部。脊状物1001F位于隔离层1009顶面上方的部分随后可以形成器件的鳍,在此称作“鳍线”。在以下描述中,也以“1001F”来指示鳍线。当然,在SOI衬底的情况下,SOI衬底中的埋入氧化物(BOX)层可以充当隔离层。
根据本公开的实施例,可以将鳍线分离为不同的部分以形成鳍布局,然后再形成隔离层,以便限定有源区。备选地,可以保留连续延伸的鳍线,直接形成隔离层。在后继处理中,再对鳍线进行分离,以形成最终的有源区布局。在此,以后一种情况为例进行描述。
为了抑制源漏之间经由鳍下部的泄漏,可以在脊状物中鳍线的下部形成穿通阻止层(PTSL)。例如,对于要在p-阱上形成的n型器件,可以形成片p型的PTSL(p-PTSL);而对于要在n-阱上形成的p型器件,可以形成n型的PTSL(n-PTSL)。p-PTSL中的p型掺杂浓度可以高于p-阱中的p型掺杂浓度,n-PTSL中的n型掺杂浓度可以高于n-阱中的n型掺杂浓度。
存在多种方法来形成鳍线以及各种阱和PTSL配置,在此不赘述。
接下来,如图4(a)、4(b)和4(c)(图4(a)是俯视图,图4(b)是沿图4(a)中AA′线的截面图,图4(c)是沿图4(a)中BB′线的截面图)所示,可以在衬底1001上(更具体地,在隔离层1009上),形成沿与第一方向交叉(例如,大致垂直)的第二方向(例如,图4(a)中的竖直方向,图4(b)中垂直于纸面的方向,图4(c)中的水平方向)延伸并因此与鳍线1001F相交的牺牲栅线1003。
为了在以下对牺牲栅线1003进行刻蚀的过程中能够更好地控制刻蚀过程,可以先形成刻蚀停止层1011。例如,可以通过淀积或者热氧化,形成氧化物的刻蚀停止层1011,厚度为约1-5nm。在图4(b)和4(c)中,示出了例如通过热氧化而在鳍线1001F的表面上形成的刻蚀停止层1011;而在图4(a)中,为方便起见,并未示出刻蚀停止层1011。
然后,可以在衬底1001上例如通过淀积形成牺牲栅线材料。牺牲栅线材料例如包括多晶硅或非晶硅,厚度可以为约150-300nm。可以对形成的牺牲栅线材料进行平坦化处理如化学机械抛光(CMP),以使其顶面平坦,且留于鳍线1001F顶面上方的厚度可以为约70-150nm。然后,可以通过例如光刻,将牺牲栅线材料构图为一系列牺牲栅线1003。例如,牺牲栅线1003可以平行延伸,并可以具有相同或者不同的间距和/或宽度。
在该示例中,对于牺牲栅线材料的刻蚀如RIE(相对于氧化物的隔离层1009和/或刻蚀停止层1011的选择性刻蚀)利用硬掩模层1013。硬掩模层1013例如可以包括氮化物(例如,氮化硅),厚度为约50-150nm。利用硬掩模来进行刻蚀的过程在此不再赘述。
在牺牲栅线1003的侧壁上,可以通过侧墙(spacer)形成工艺,形成侧墙1005。侧墙1005例如可以包括氮化物,厚度为约3-10nm。如上所述,侧墙1005围绕各牺牲栅线1003,从而形成环状。在图4(a)的俯视图中,并未示出侧墙1005的上下端部。
接着,可以在各牺牲栅线1003及其相应侧墙1005之间的空隙处,填充第一电介质例如氧化物,以便遮挡鳍线1001F被各牺牲栅线1003及其相应侧墙1005露出的部分。例如,可以在图4(a)、4(b)和4(c)所示的结构上淀积氧化物,并对其进行平坦化处理如CMP。CMP可以停止于牺牲栅线1003,从而露出牺牲栅线1003。这样,得到了图5所示的结构(图5示出了AA′线截面处的情况)。如此填充的第一电介质1015在后继处理中还可以有助于保持应力或者防止应力释放。
在形成连续的侧墙1005之后,可以进行有源区的限定,即按照布局设计,对鳍线1001F进行分离。根据本公开的实施例,在对鳍线1001F进行分离时,可以利用侧墙1005来限定分离鳍线1001F所得到的鳍的端部。
为此,如图6(a)和6(b)(图6(a)是俯视图,图6(b)是沿图6(a)中AA′线的截面图)所示,可以通过选择性刻蚀(相对于氧化物的隔离层1009、刻蚀停止层1011和第一电介质1015以及氮化物的侧墙1005)如湿法腐蚀或气相刻蚀,去除牺牲栅线1003,从而在侧墙1005内侧形成沟槽T。在此,刻蚀优选地是各向同性刻蚀,以便很好地露出侧墙1005的内壁。在沟槽T中,露出了鳍线1001F(当前被刻蚀停止层1011覆盖)。
对于露出的鳍线1001F,可以根据布局设计,分离其中的一部分,以形成分离的有源区或者鳍。例如,这可以通过光刻胶遮蔽不需要分离的鳍线并露出需要分离的鳍线,然后进行选择性刻蚀来进行。
例如,如图7(a)和7(b)(图7(a)是俯视图,图7(b)是沿图7(a)中AA′线的截面图)所示,可以在图6(a)和6(b)所示的结构上形成光刻胶1017,并将其构图(例如,通过曝光和显影)为露出从左向右数时第一和第三条牺牲栅线所对应的沟槽T,遮蔽第二和第四条牺牲栅线所对应的沟槽T。尽管在此示出了整个第一和第三条牺牲栅线所对应的沟槽T均被露出且第二和第四条牺牲栅线所对应的沟槽T均被遮蔽的情况,但是本公开不限于此。例如,沟槽T的一部分可以遮蔽而另一部分可以露出。这里需要指出的是,需要露出的沟槽T或者其一部分是根据布局设计而定的。
然后,如图8(图8示出了AA′线截面处的情况)所示,可以经由沟槽T,来分离鳍线1001F。例如,可以通过反应离子刻蚀(RIE),依次选择性刻蚀刻蚀停止层1011和脊状物1001F。对脊状物1001F的刻蚀可以将鳍线(即,脊状物处于隔离层1009顶面上方的部分)切断。这样,鳍线1001F被分离为不同的部分,这些部分随后可以构成器件的鳍。以下,仍然以“1001F”来指示鳍。优选地,对脊状物1001F的刻蚀可以穿过PTSL,并停止于n-阱或p-阱中。这有助于确保各分离的鳍之间的电隔离。之后,可以去除光刻胶1017。
由于在分离鳍线1001F时侧墙1005类似于掩模,因此,分离后鳍的端部自对准于侧墙1005的内壁。这有助于节省衬底上的面积并因此降低制造成本。
接着,如图9(a)和9(b)(图9(a)是俯视图,图9(b)是沿图9(a)中AA′线的截面图)所示,可以向侧墙1005内侧的空间中特别是分离的鳍1001F的相对端部之间填充第二电介质1019。例如,可以在图8所示的结构上淀积氮氧化物(例如,氮氧化硅),并对其进行平坦化处理如CMP,CMP可以停止于第一电介质1015。第二电介质1019被侧墙1005围绕,构成伪栅。
在此,通过向凹槽中填充第二电介质来形成伪栅。在填充时,第二电介质可能先淀积于凹槽的侧壁和底壁上,从而形成U型结构,该U型结构的两个相对内侧壁随着淀积进行而逐渐靠拢。由于沟槽的开口很小,因此最终U型结构的相对内侧壁可能并没有完全弥合,而是存在一定的缝隙。也即,在最终的伪栅中,可能存在这种缝隙,从而伪栅仍然呈现U型结构。这种结构可以在透射电镜(TEM)照片中看到。
由于电介质的伪栅留于鳍的相对端部之间,可以在后继的源/漏外延过程中降低应力弛豫。另外,可以将带应力的电介质材质用于伪栅,以便在鳍中产生应力,从而增强器件性能。例如,对于p型器件,伪栅可以带压应力;而对于n型器件,伪栅可以带拉应力。
之后,可以按照布局设计,在侧墙1005内侧的空间中需要之处形成真正的栅堆叠。例如,这可以通过去除一部分第二电介质1019,以露出下方的鳍1001F,并在由于第二电介质1019的去除而留下的空间中形成栅堆叠来进行。形成的栅堆叠与下方的鳍1001F相交,从而限定FinFET。
在该示例中,由于针对CMOS工艺,可以针对n型器件和p型器件分别形成不同的栅堆叠。为此,可以针对n型器件区域和p型器件区域分别进行处理。在以下,将描述先对p型器件区域进行处理然后再对n型器件进行处理的示例。但是,本公开不限于此,处理的顺序可以交换。
例如,参见图10(a)和10(b)(图10(a)是俯视图,图10(b)是沿图10(a)中AA′线的截面图),可以在图9(a)和9(b)所示的结构上形成光刻胶1021,并将其构图为遮蔽n型器件区域(例如,图10(a)中左上部以及右部),而露出p型器件区域(例如,图10(a)中左下部)。
此时,例如可以通过对第二电介质1019进行选择性刻蚀来去除侧墙1005内侧的第二电介质1019,并在由于第二电介质1019的去除而在侧墙1005内侧留下的空间中形成栅堆叠,来制作FinFET。
根据本公开的实施例,在此还可以采用应变源漏技术。
例如,可以图10(a)和10(b)所示的光刻胶1021为掩模,对氧化物的第一电介质1015以及刻蚀停止层1011进行选择性刻蚀如RIE,以便露出下方的鳍1001F。于是,p型器件区域中鳍1001F在相邻侧墙1005之间延伸的部分(对应于源/漏区)被露出。之后,可以去除光刻胶1021。
接着,如图11(a)和11(b)(图11(a)是俯视图,图11(b)是沿图11(a)中AA′线的截面图)所示,可以对鳍1001F进行选择性刻蚀如RIE,以至少去除其一部分从而使其下凹。例如,鳍1001F可以凹入至n-PTSL,即去除鳍1001F位于n-PTSL之上的部分。然后,可以以鳍1001F的剩余部分为种子,外延生长用作源/漏区的另外半导体材料1023。例如,对于p型器件,源/漏区1023可以包括SiGe(Ge的原子百分比为约30-75%),以便向用作沟道区的Si的鳍1001F施加压应力,从而改善器件性能。另外,在生长半导体材料1023时,可以对其进行原位掺杂,例如p型掺杂,掺杂浓度为约1E19-1E21cm-3。所生长的半导体材料1023的顶面可以高于鳍1001F的顶面,以便更好地向鳍1001F施加应力;另一方面,可以低于侧墙1005或伪栅1019的顶面,以便随后可以在此之上形成应力保持层。
在生长半导体材料1023时,由于在相对两侧(图11(a)和11(b)中左右两侧)以及底部均存在种子层,从而有助于高质量的生长。以这种方式进行生长,所生长的半导体材料1023可以呈现如鳍1001F的脊状。
另外,如图11(b)所示,由于n型器件区域上存在的第一电介质1015以及p型器件区域与n型器件区域之间的伪栅1019,可以防止所生长的半导体材料1023中的应力释放到n型器件区域,并有助于改善p型器件的性能。
然后,如图12(图12示出了AA′线截面处的情况)所示,可以在图11(a)和11(b)上例如通过淀积形成第三电介质1025如氧化物,并对其进行平坦化处理如CMP,CMP可以停止于侧墙1005。这样,所形成的第三电介质1025填充了相邻侧墙之间的空间,并且有助于防止下方的半导体材料1023中的应力释放。
接下来,可以对n型器件区域进行同样地处理。
为此,如图13(a)和13(b)(图13(a)是俯视图,图13(b)是沿图13(a)中AA′线的截面图)所示,可以在图12所示的结构上形成光刻胶1027,并将其构图为遮蔽p型器件区域(例如,图13(a)中左下部),而露出n型器件区域(例如,图13(a)中左上部以及右部)。
此时,例如可以通过对第二电介质1019进行选择性刻蚀来去除侧墙1005内侧的第二电介质1019,并在由于第二电介质1019的去除而在侧墙1005内侧留下的空间中形成栅堆叠,来制作FinFET。
当然,也可以对n型器件区域应用应变源漏技术。
例如,可以图13(a)和13(b)所示的光刻胶1027为掩模,对氧化物的第一电介质1015以及刻蚀停止层1011进行选择性刻蚀如RIE,以便露出下方的鳍1001F。于是,n型器件区域中鳍1001F在相邻侧墙1005之间延伸的部分(对应于源/漏区)被露出。之后,可以去除光刻胶1027。
接着,如图14(图14示出了AA′线截面处的情况)所示,可以对鳍1001F进行选择性刻蚀如RIE,以至少去除其一部分从而使其下凹。例如,鳍1001F可以凹入至p-PTSL,即去除鳍1001F位于p-PTSL之上的部分。在去除鳍1001F的该部分时,半导体层1023中的应力可能释放,但是第三电介质1025以及p型器件区域与n型器件区域之间的伪栅1019有助于减小这种释放。
然后,可以以鳍1001F的剩余部分为种子,外延生长用作源/漏区的另外半导体材料1029。例如,对于n型器件,源/漏区1029可以包括Si∶C(C的原子百分比为约0.1-3%),以便向用作沟道区的Si的鳍1001F施加拉应力,从而改善器件性能。另外,在生长半导体材料1029时,可以对其进行原位掺杂,例如n型掺杂,掺杂浓度为约1E19-1E21cm-3。所生长的半导体材料1029的顶面可以高于鳍1001F的顶面,以便更好地向鳍1001F施加应力;另一方面,可以低于侧墙1005或伪栅1019的顶面,以便随后可以在此之上形成应力保持层。
在生长半导体材料1029时,由于在相对两侧(图14中左右两侧)以及底部均存在种子层,从而有助于高质量的生长。以这种方式进行生长,所生长的半导体材料1029可以呈现如鳍1001F的脊状。
另外,如图14所示,由于在p型器件区域上存在的第三电介质1025以及p型器件区域与n型器件区域之间的伪栅,可以防止所生长的半导体材料1029中的应力释放到p型器件区域,并有助于改善p型器件的性能。
然后,如图15(a)和15(b)(图15(a)是俯视图,图15(b)是沿图15(a)中AA′线的截面图)所示,可以在图14上例如通过淀积形成第四电介质1031如氧化物(可以与第三电介质1025相同),并对其进行平坦化处理如CMP,CMP可以停止于侧墙1005。这样,所形成的第四电介质1031填充了相邻侧墙之间的空间,并且有助于防止下方的半导体材料1029中的应力释放。
可以看出,由于存在多种应力保持机制,从而可以增加器件中的应力。图27示出了根据本公开实施例的应力保持机制所能实现的应力增强。如图27所示,采用这些应力保持机制,对n型器件和p型器件,均可以实现沟道区的应力增强。
如图15(a)和15(b)所示,当前的有源区已经形成为这样的形式:有源区总体上仍呈沿第一方向延伸的脊状物,该脊状物在伪栅1019和侧墙1005正下方的部分是原本的鳍1001F,而在相邻侧墙1005之间延伸的部分是应变源/漏区1023、1029。应变源/漏区1023、1029可以比原本的鳍1001F要粗。在该示例中,伪栅1019可以将有源区的不同部分相隔离。
在形成应变源/漏之后,可以将伪栅1019中需要之处替换为真正的栅堆叠。为此,首先可以去除伪栅1019中需要替换的部分,并在其中代之以真正的栅堆叠。对于n型器件和p型器件,可以分别进行栅堆叠的替代。
例如,如图16(a)和16(b)(图16(a)是俯视图,图16(b)是沿图16(a)中AA′线的截面图)所示,可以在图15(a)和15(b)所示的结构上形成光刻胶1033,并将该光刻胶1033构图为遮蔽需要保留的伪栅部分(图中从左至右数第一、第三和第四伪栅,以及第二伪栅的一部分),并露出需要替换的伪栅部分(用于p型器件的部分,图中从左至右数第二伪栅的一部分)。以光刻胶1033为掩模,对伪栅1019进行选择性刻蚀如RIE(相对于氧化物的电介质1025/1031以及氮化物的侧墙1005)。刻蚀可以停止于刻蚀停止层1011。这样,由于这些部分的伪栅被去除,从而在侧墙1005内侧留下了空间(用于容纳栅堆叠),且在该空间内露出了鳍1001F(鳍表面覆盖有刻蚀停止层1011,该刻蚀停止层1011例如可以通过清洗或者选择性刻蚀而被去除)。之后,可以去除光刻胶1033。
然后,如图17(a)和17(b)(图17(a)是俯视图,图17(b)是沿图17(a)中AA′线的截面图)所示,可以在如上所述在侧墙1005内侧留下的空间中形成栅堆叠。在此,可以形成针对p型器件的栅堆叠。
例如,可以首先在该空间中通过淀积如原子层淀积(ALD)形成栅介质层1035。栅介质层1035可以包括高K栅介质如HfO2,厚度为约1-3nm。在形成栅介质层1035之前,可以在鳍1001F的表面上形成氧化物的界面层(未示出),厚度为约0.3-1.2nm。之后,可以通过淀积形成针对p型器件的栅电极层1037-1,例如金属栅电极。可以对所形成的栅电极层1037-1和栅介质层1035进行平坦化处理如CMP,CMP可以停止于电介质1025/1031。这样,栅电极层1037-1和栅介质层1035留于侧墙1005内侧,形成栅堆叠。
接下来,可以类似地对n型器件进行栅堆叠的替代。
例如,如图18(a)、18(b)、18(c)和18(d)(图18(a)是俯视图,图18(b)是沿图18(a)中AA′线的截面图,图18(c)是沿图18(a)中BB′线的截面图,图18(d)是沿图18(a)中CC′线的截面图)所示,可以在图17(a)和17(b)所示的结构上形成光刻胶1033′,并将该光刻胶1033′构图为遮蔽需要保留的伪栅部分(图中从左至右数第一和第三伪栅,第二伪栅的一部分,以及第四伪栅的一部分),并露出需要替换的伪栅部分(用于n型器件的部分,图中从左至右数第二伪栅和第四伪栅的一部分)。以光刻胶1033′为掩模,对伪栅1019进行选择性刻蚀如RIE(相对于氧化物的电介质1025/1031以及氮化物的侧墙1005)。刻蚀可以停止于刻蚀停止层1011。这样,由于这些部分的伪栅被去除,从而在侧墙1005内侧留下了空间(用于容纳栅堆叠),且在该空间内露出了鳍1001F(鳍表面覆盖有刻蚀停止层1011,该刻蚀停止层1011例如可以通过清洗或者选择性刻蚀而被去除)。之后,可以去除光刻胶1033′。
根据本公开的实施例,在栅堆叠的相对端部之间需要隔离的情况下,可以利用光刻胶1033′来遮蔽相应位置,从而在该处留下伪栅以便用作隔离。例如,如图18(a)(参见其中右侧的虚线椭圆圈)和18(c)所示,在从左至右数第四条伪栅处,光刻胶1033′覆盖了在第二方向上一定宽度的伪栅,该宽度的伪栅1019随后得以保留。
另外,根据本公开的实施例,在栅堆叠(特别是n型器件和p型器件的栅堆叠)的相对端部之间需要隔离的情况下,可以利用光刻胶1033′与前次光刻胶1033之间的套准交迭来遮蔽相应位置,从而在该处留下伪栅以便用作隔离。例如,如图18(a)(参见其中左侧的虚线椭圆圈)和18(d)所示,在从左至右数第二条伪栅处,光刻胶1033′与前次光刻胶1033之间在第二方向上交迭一定宽度,该宽度的伪栅1019随后得以保留。
然后,如图19(a)、19(b)、19(c)和19(d)(图19(a)是俯视图,图19(b)是沿图19(a)中AA′线的截面图,图19(c)是沿图19(a)中BB′线的截面图,图19(d)是沿图19(a)中CC′线的截面图)所示,可以在如上所述在侧墙1005内侧留下的空间中形成栅堆叠。在此,可以形成针对n型器件的栅堆叠。
例如,可以首先在该空间中通过淀积如原子层淀积(ALD)形成栅介质层1035。栅介质层1035可以包括高K栅介质如HfO2,厚度为约1-3nm。在形成栅介质层1035之前,可以在鳍1001F的表面上形成氧化物的界面层(未示出),厚度为约0.3-1.2nm。之后,可以通过淀积形成针对n型器件的栅电极层1037-2,例如金属栅电极。可以对所形成的栅电极层1037-2和栅介质层1035进行平坦化处理如CMP,CMP可以停止于电介质1025/1031。这样,栅电极层1037-2和栅介质层1035留于侧墙1005内侧,形成栅堆叠。
这样,就得到了n型和p型的FinFET。如图19(b)所示,在p型FinFET中,栅电极层1037-1可以介由栅介质层1035控制鳍1001F中的沟道区,且源/漏区1023可以通过沟道区电连通。类似地,在n型FinFET中,栅电极层1037-2可以介由栅介质层1035控制鳍1001F中的沟道区,且源/漏区1029可以通过沟道区电连通。
在此,可以对n型器件和p型器件分别形成不同的栅堆叠(在该示例中,对于n型器件和p型器件形成相同的栅介质层,并形成不同的栅电极层;但是本公开不限于此,例如也可以形成不同的栅介质层)。
参见图19(a)和19(c),某些相对的栅堆叠之间的间隙(或者说,残留的伪栅1019在第二方向上的宽度)是由光刻胶1033′在该处的线宽决定的。相比于栅堆叠之间的间隙由线之间的间隔决定的常规技术,该间隙可以做得更窄(因为一般而言在光刻技术中线宽可以小于线间隔)。也即,栅堆叠的相对端部之间的距离可以更小,从而可以节省面积,并可以降低制造成本。
另外,参见图19(a)和19(d),某些相对的栅堆叠之间的隔离(或者说,残留的伪栅1019在第二方向上的宽度)是由光刻胶1033′与光刻胶1033之间的套准交迭决定的。相比于特征尺寸由线宽决定的常规技术,该隔离可以做得更窄(因为一般而言在光刻技术中套准交迭可以小于关键线宽(CD))。也即,栅堆叠的相对端部之间的距离可以更小,从而可以节省面积,并可以降低制造成本。
在此,利用了两种不同方式来实现栅堆叠相对端部之间间隙的减小。可以根据布局设计,选择是否使用这些方式。
接下来,可以进行接触部的制作。
为了保护栅堆叠,如图20(图20示出了AA′线截面处的情况)所示,可以使栅堆叠凹入,并在其顶部形成保护层1039。例如,保护层1039可以包括氮化物。
根据本公开的实施例,按照自对准技术来形成接触部。例如,如图21(a)和21(b)(图21(a)是俯视图,图21(b)是沿图21(a)中AA′线的截面图)所示,可以对电介质1025/1031(在该示例中,氧化物)进行选择性刻蚀如湿法腐蚀和气相刻蚀(相对于半导体材料1023/1029、氮氧化物的伪栅、氮化物的侧墙1005和保护层1039),以至少部分地露出下方的源/漏区1023、1029。这种刻蚀可以是各向同性刻蚀,且刻蚀的沟槽或孔洞可以至少部分地与部分侧墙1005的外壁实质上共形或实质上对准。在图21(a)中示出了对电介质1025/1031的刻蚀露出下方的隔离层1009,但是本公开不限于此。例如,电介质1025/1031还可以留有一部分,只要源/漏区1023、1029被露出。
于是,如图21(a)和21(b)所示,在相邻的侧墙1005之间,留下了沟槽。这些沟道随后可以容纳导电材料以形成接触部。由于源/漏区1023、1029位于这些沟槽内,从而接触部可以自对准于源/漏区1023、1029。
然后,如图22(a)和22(b)(图22(a)是俯视图,图22(b)是沿图22(a)中AA′线的截面图)所示,可以在图21(a)和21(b)所示的结构上,例如通过淀积形成接触材料1041,并可以对其进行平坦化处理例如CMP,CMP可以停止于侧墙1005或保护层1039。于是,接触材料1041填充于各沟槽中。接触材料1041可以包括导电材料,例如金属如W。不同沟槽中的接触材料1041由于它们之间的侧墙1005而彼此隔离。
此外,在形成接触材料1041之前,可以先形成一层阻挡层(未示出),例如Ti或者Ti/TiN叠层。
之后,如图23(a)和23(b)(图23(a)是俯视图,图23(b)是沿图23(a)中AA′线的截面图)所示,可以根据布局设计,将接触材料1041分离为不同的接触部。例如,可以在图22(a)和22(b)所示的结构上形成光刻胶(未示出),并将其构图为露出需要隔离之处,而遮蔽其余之处。然后,以光刻胶为掩模,对接触材料1041进行选择性刻蚀如RIE,以切断接触材料1041。如图23(b)所示,形成了自对准于源/漏区1023、1029的接触部1041。
本公开的技术可以局部地或者全局地应用于衬底上。
在以上实施例中,p型器件的栅电极层1037-1和n型器件的栅电极层1037-2彼此电隔离。但是,本公开不限于此。例如,在某些区域处,根据布局设计,p型器件的栅电极层1037-1和n型器件的栅电极层1037-2彼此电连接。
例如,在以上结合图3(a)-20描述的操作之后,如图24(a)和24(b)(图24(a)是俯视图,图24(b)是沿图24(a)中CC′线的截面图)所示,可以在图20所示的结构上形成掩模层1043。例如,掩模层1043可以包括氮化物,厚度为约10-50nm。可以将该掩模层1043构图(例如,通过光刻)为露出需要电连接的栅电极层1037-1和栅电极层1037-2之间的隔离(即,伪栅1019)。
然后,如图25(图25示出了CC′线截面处的情况)所示,可以利用掩模层1043,选择性刻蚀保护层1039(在该示例中,氮化物)和伪栅1019(在该示例中,氮氧化物)。在此,还可以对栅介质层1035进行选择性刻蚀如。于是,在栅电极层1037-1和栅电极层1037-2之间留下了空隙。
随后,如图26(图26示出了CC′线截面处的情况)所示,可以向空隙中填充导电材料1045如钨(W),以便将栅电极层1037-1和栅电极层1037-2彼此电连接。优选地,填充的导电材料1045的顶面不高于栅电极层1037-1、1037-2的顶面。然后,可以向空隙中的剩余空间中填充电介质1039′如氮化物。可以对氮化物进行平坦化处理如CMP。
之后,可以同上述实施例中一样,进行接触部的制造。
在以上的实施例中,在应用应变源/漏技术时,针对p型器件区域和n型器件区域分别进行处理。但是,本公开不限于此。例如,可以在所有器件区域上将对应于源/漏区的脊状物替换为针对一种类型器件(例如,p型器件)的第一应变源/漏,然后再将另一种类型器件(例如,n型器件)区域上的第一应变源/漏替换为针对该类器件的第二应变源/漏。
如图28(a)和28(b)(图28(a)是俯视图,图28(b)是沿图28(a)中AA′线的截面图)所示,如在以上结合图9(a)和9(b)所述形成伪栅1019之后,可以在整个衬底上对氧化物的第一电介质1015以及刻蚀停止层1011进行选择性刻蚀如RIE,以便露出下方的鳍1001F。于是,p型器件区域和n型器件区域中鳍1001F在相邻侧墙1005之间延伸的部分(对应于源/漏区)被露出。该操作与以上结合图10(a)和10(b)描述的操作类似,但是并未形成光刻胶1021。
接着,如图29(a)和29(b)(图29(a)是俯视图,图29(b)是沿图29(a)中AA′线的截面图)所示,可以对鳍1001F进行选择性刻蚀如RIE,以至少去除其一部分从而使其下凹。然后,可以以鳍1001F的剩余部分为种子,外延生长用作p型器件的源/漏区的另外半导体材料1023。对此,例如可以参见以上结合图11(a)和11(b)的描述。
然后,如图30(图30示出了AA′线截面处的情况)所示,可以在图29(a)和29(b)上例如通过淀积形成第三电介质1025如氧化物,并对其进行平坦化处理如CMP,CMP可以停止于侧墙1005。
接下来,可以替换n型器件区域中的半导体材料1023。
为此,如图31(a)和31(b)(图31(a)是俯视图,图31(b)是沿图31(a)中AA′线的截面图)所示,可以在图30所示的结构上形成光刻胶1027,并将其构图为遮蔽p型器件区域(例如,图31(a)中左下部),而露出n型器件区域(例如,图31(a)中左上部以及右部)。以光刻胶1027为掩模,对氧化物的第三电介质1025进行选择性刻蚀如RIE,以便露出下方的鳍1001F。于是,n型器件区域中鳍1001F在相邻侧墙1005之间延伸的部分(对应于源/漏区)被露出。之后,可以去除光刻胶1027。
接着,如图32(图32示出了AA′线截面处的情况)所示,可以对鳍1001F进行选择性刻蚀如RIE,以至少去除其一部分从而使其下凹。然后,如图33(图33示出了AA′线截面处的情况)所示,可以以鳍1001F的剩余部分为种子,外延生长用作n型器件的源/漏区的另外半导体材料1029。对此,例如可以参见以上结合图14的描述。接下来,可以如以上实施例中一样进行。
根据本公开实施例的半导体设置可以应用于各种电子设备。例如,通过集成这样的半导体设置以及其他器件(例如,其他形式的晶体管等),可以形成集成电路(IC),并由此构建电子设备。因此,本公开还提供了一种包括上述半导体设置的电子设备。电子设备还可以包括与集成电路配合的显示屏幕以及与集成电路配合的无线收发器等部件。这种电子设备例如智能电话、计算机、平板电脑(PC)、可穿戴智能设备、移动电源等。
在以上的描述中,对于各层的构图、刻蚀等技术细节并没有做出详细的说明。但是本领域技术人员应当理解,可以通过各种技术手段,来形成所需形状的层、区域等。另外,为了形成同一结构,本领域技术人员还可以设计出与以上描述的方法并不完全相同的方法。另外,尽管在以上分别描述了各实施例,但是这并不意味着各个实施例中的措施不能有利地结合使用。
以上对本公开的实施例进行了描述。但是,这些实施例仅仅是为了说明的目的,而并非为了限制本公开的范围。本公开的范围由所附权利要求及其等价物限定。不脱离本公开的范围,本领域技术人员可以做出多种替代和修改,这些替代和修改都应落在本公开的范围之内。

Claims (23)

1.一种半导体设置,包括:
衬底;
在衬底上形成的沿第一方向延伸的多个鳍;
在衬底上形成的沿与第一方向交叉的第二方向延伸的多个栅堆叠以及沿第二方向延伸且由电介质构成的伪栅,其中各栅堆叠与至少一个鳍相交;
在栅堆叠的侧壁以及伪栅的侧壁上形成的侧墙;以及
设于在第二方向上对准的第一栅堆叠和第二栅堆叠之间用以将第一栅堆叠和第二栅堆叠电隔离的电介质,
其中,第一栅堆叠和第二栅堆叠的侧墙一体延伸,且所述电介质设于第一栅堆叠和第二栅堆叠的一体延伸的侧墙所围绕的空间内,
其中,第一栅堆叠和第二栅堆叠在第二方向上的至少一部分间隔小于该半导体设置的制造工艺中光刻所能实现的线间隔。
2.根据权利要求1所述的半导体设置,其中,所述电介质在第二方向上的尺度小于该半导体设置的制造工艺中光刻所能实现的关键线宽(CD)。
3.根据权利要求1所述的半导体设置,其中,至少一些鳍的端部邻接伪栅,且与相应的侧墙的内壁实质上对准。
4.根据权利要求1所述的半导体设置,其中,在第二方向上对准的至少一个栅堆叠和至少一个伪栅的侧墙一体延伸。
5.根据权利要求1-4中任一项所述的半导体设置,其中,在第二方向上跨及所述多个鳍的范围内,各侧墙均连续延伸。
6.根据权利要求1所述的半导体设置,其中,第一栅堆叠与第二栅堆叠之间的电介质与伪栅的电介质相同。
7.根据权利要求1所述的半导体设置,还包括:设于相邻的侧墙之间的电接触部。
8.根据权利要求7所述的半导体设置,其中,电接触部的侧壁中至少部分侧壁同与该部分侧壁相对的侧墙的外壁实质上共形或实质上对准。
9.根据权利要求1所述的半导体设置,其中,至少一个鳍包括:
第一半导体材料的第一部分,第一部分在栅堆叠以及侧墙的正下方延伸;以及
第二半导体材料的第二部分,第二部分在侧墙之间延伸,且与第一部分相接,
其中,第二半导体材料能够向第一半导体材料施加应力。
10.根据权利要求9所述的半导体设置,其中,第二部分的顶面高于第一部分的顶面,但是低于侧墙的顶面。
11.根据权利要求1所述的半导体设置,其中,伪栅的电介质形成U型结构。
12.一种制造半导体设置的方法,包括:
在衬底上形成各自分别沿第一方向延伸的多个脊状物;
在衬底上形成各自分别沿与第一方向交叉的第二方向连续延伸从而与所述多个脊状物相交的多条牺牲栅线;
在各牺牲栅线的侧壁上形成绕各牺牲栅线的侧墙;
在衬底上形成第一电介质,对其进行平坦化以露出牺牲栅线;
去除牺牲栅线,以露出下方的脊状物;
向侧墙内的空间中填充第二电介质;
利用掩模遮蔽一部分第二电介质并露出其余部分的第二电介质,其中,在至少一条牺牲栅线处,掩模覆盖在第二方向上一定尺度的第二电介质;
去除露出部分的第二电介质,以露出下方的脊状物;以及
在由于所述部分第二电介质的去除而留下的空间中形成栅堆叠,
其中,在所述至少一条牺牲栅线处,留有所述尺度的第二电介质,且在留下的第二电介质两侧形成的栅堆叠彼此之间在第二方向上的间隔由所述尺度限定,且因此能够小于该半导体设置的制造工艺中光刻所能实现的线间隔。
13.一种制造半导体设置的方法,包括:
在衬底上形成各自分别沿第一方向延伸的多个脊状物;
在衬底上形成各自分别沿与第一方向交叉的第二方向连续延伸从而与所述多个脊状物相交的多条牺牲栅线;
在各牺牲栅线的侧壁上形成绕各牺牲栅线的侧墙;
在衬底上形成第一电介质,对其进行平坦化以露出牺牲栅线;
去除牺牲栅线,以露出下方的脊状物;
向侧墙内的空间中填充第二电介质;
利用第一掩模遮蔽一部分的第二电介质并露出第一部分的第二电介质;
去除露出的第一部分第二电介质,以露出下方的脊状物;
在由于第一部分第二电介质的去除而留下的空间中形成第一栅堆叠;
利用第二掩模遮蔽一部分的第二电介质并露出第二部分的第二电介质,其中,在至少一条牺牲栅线处,第一掩模和第二掩模在第二方向上有一定尺度的套准交迭;
去除露出的第二部分第二电介质,以露出下方的脊状物;
在由于第二部分第二电介质的去除而留下的空间中形成第二栅堆叠,
其中,在所述至少一条牺牲栅线处,留有所述尺度的第二电介质,且所述尺度能够小于该半导体设置的制造工艺中光刻所能实现的关键线宽(CD)。
14.根据权利要求12或13所述的方法,其中,在衬底上形成各自分别沿第一方向延伸的多个脊状物包括:
在衬底上形成各自分别沿第一方向连续延伸的多个脊状物;以及
对一部分脊状物进行选择性刻蚀。
15.根据权利要求12或13所述的的方法,其中,
在衬底上形成各自分别沿第一方向延伸的多个脊状物包括在衬底上形成各自分别沿第一方向连续延伸的多个脊状物,以及
在去除牺牲栅线之后且在向侧墙内的空间中填充第二电介质之前,该方法还包括:对露出的一部分脊状物进行选择性刻蚀。
16.根据权利要求12或13所述的方法,其中,在填充第二电介质之后且在去除露出部分的第二电介质之前,该方法还包括:
选择性刻蚀第一电介质,以露出脊状物在侧墙之间延伸的部分;
至少部分地去除所露出的脊状物在侧墙之间延伸的部分;
在脊状物的剩余部分之间生长不同材料的另一脊状物;
在衬底上形成第三电介质,并对其进行平坦化,平坦化停止于侧墙。
17.根据权利要求16所述的方法,其中,
在衬底上的一部分区域上执行选择性刻蚀第一电介质到形成第三电介质的处理,并在衬底上的另一部分区域上另外执行去除第一电介质到形成第三电介质的处理,
其中,在这两次处理中生长的另一脊状物的材料不同。
18.根据权利要求16所述的方法,其中,
在衬底上的全部区域上执行选择性刻蚀第一电介质到形成第三电介质的处理,
该方法还包括:
在衬底的选定区域上选择性去除第三电介质和所述另一脊状物;
在所述选定区域上脊状物的剩余部分之间生长又一脊状物;
在衬底上形成第四电介质,并对其进行平坦化,平坦化停止于侧墙。
19.根据权利要求12或13所述的方法,其中,在形成栅堆叠之后,该方法还包括:
选择性刻蚀第一电介质,至少部分地露出各脊状物在侧墙之间延伸的部分;
在衬底上形成导电层,并对其进行平坦化,平坦化停止于侧墙;以及
在导电层中的预定位置处形成电隔离。
20.根据权利要求19所述的方法,其中,对第一电介质的刻蚀是各向同性刻蚀,且刻蚀的沟槽或孔洞至少部分地与部分侧墙的外壁实质上共形或实质上对准。
21.根据权利要求12或13所述的方法,其中,形成栅堆叠包括:
在同一侧墙围绕的空间内形成不同的栅堆叠。
22.一种电子设备,包括由如权利要求1-11中任一项所述的半导体设置。
23.根据权利要求22所述的电子设备,该电子设备包括智能电话、计算机、平板电脑、可穿戴智能设备、移动电源。
CN201611033447.4A 2016-11-15 2016-11-15 具有连续侧墙的半导体设置及其制造方法 Active CN106409913B (zh)

Priority Applications (5)

Application Number Priority Date Filing Date Title
CN201611033447.4A CN106409913B (zh) 2016-11-15 2016-11-15 具有连续侧墙的半导体设置及其制造方法
US16/461,330 US10833086B2 (en) 2016-11-15 2016-12-21 Semiconductor arrangement having continuous spacers and method of manufacturing the same
PCT/CN2016/111274 WO2018090426A1 (zh) 2016-11-15 2016-12-21 具有连续侧墙的半导体设置及其制造方法
US17/039,770 US11251184B2 (en) 2016-11-15 2020-09-30 Semiconductor arrangement having continuous spacers and method of manufacturing the same
US17/039,755 US11251183B2 (en) 2016-11-15 2020-09-30 Semiconductor arrangement having continuous spacers and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201611033447.4A CN106409913B (zh) 2016-11-15 2016-11-15 具有连续侧墙的半导体设置及其制造方法

Publications (2)

Publication Number Publication Date
CN106409913A CN106409913A (zh) 2017-02-15
CN106409913B true CN106409913B (zh) 2019-04-09

Family

ID=58081625

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611033447.4A Active CN106409913B (zh) 2016-11-15 2016-11-15 具有连续侧墙的半导体设置及其制造方法

Country Status (3)

Country Link
US (3) US10833086B2 (zh)
CN (1) CN106409913B (zh)
WO (1) WO2018090426A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10090410B1 (en) * 2017-03-17 2018-10-02 International Business Machines Corporation Forming a combination of long channel devices and vertical transport fin field effect transistors on the same substrate
US10490458B2 (en) * 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
EP3703742A4 (en) * 2017-11-02 2022-03-09 University of Georgia Research Foundation, Inc. METHODS AND COMPOSITIONS RELATED TO INCREASED PRODUCTION OF ROTAVIRUS
CN111863963A (zh) * 2019-04-24 2020-10-30 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
DE102020114860A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-gates und verfahren zum bilden davon
US11437287B2 (en) 2020-01-31 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and methods of forming thereof
US11482524B2 (en) * 2020-03-26 2022-10-25 Intel Corporation Gate spacing in integrated circuit structures
US11973120B2 (en) 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US11972983B2 (en) 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US11855218B2 (en) 2020-09-09 2023-12-26 Etron Technology, Inc. Transistor structure with metal interconnection directly connecting gate and drain/source regions
US11948939B2 (en) * 2021-01-13 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Profile control of gate structures in semiconductor devices
US20220393013A1 (en) * 2021-06-04 2022-12-08 Intel Corporation Fabrication of gate-all-around integrated circuit structures having pre-spacer-deposition wide cut gates
JP2023087695A (ja) * 2021-12-14 2023-06-26 ▲ゆ▼創科技股▲ふん▼有限公司 ソース/ドレイン及びコンタクト開口の制御された寸法を有する小型化されたトランジスタ構造及び関連する製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104681557A (zh) * 2013-11-28 2015-06-03 中国科学院微电子研究所 半导体装置及其制造方法
CN104681563A (zh) * 2013-11-28 2015-06-03 中国科学院微电子研究所 半导体装置及其制造方法
CN104795330A (zh) * 2014-01-20 2015-07-22 中国科学院微电子研究所 半导体器件及其制造方法
US9093376B2 (en) * 2012-10-24 2015-07-28 International Business Machines Corporation Replacement metal gate FinFET

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9812577B2 (en) * 2014-09-05 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US9245883B1 (en) * 2014-09-30 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
CN105633079B (zh) 2015-07-28 2019-01-01 中国科学院微电子研究所 半导体结构及其制造方法
US10460995B2 (en) * 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US10930734B2 (en) * 2018-10-30 2021-02-23 International Business Machines Corporation Nanosheet FET bottom isolation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9093376B2 (en) * 2012-10-24 2015-07-28 International Business Machines Corporation Replacement metal gate FinFET
CN104681557A (zh) * 2013-11-28 2015-06-03 中国科学院微电子研究所 半导体装置及其制造方法
CN104681563A (zh) * 2013-11-28 2015-06-03 中国科学院微电子研究所 半导体装置及其制造方法
CN104795330A (zh) * 2014-01-20 2015-07-22 中国科学院微电子研究所 半导体器件及其制造方法

Also Published As

Publication number Publication date
US20190304976A1 (en) 2019-10-03
US11251184B2 (en) 2022-02-15
US10833086B2 (en) 2020-11-10
US20210020640A1 (en) 2021-01-21
CN106409913A (zh) 2017-02-15
US20210020639A1 (en) 2021-01-21
WO2018090426A1 (zh) 2018-05-24
US11251183B2 (en) 2022-02-15

Similar Documents

Publication Publication Date Title
CN106409913B (zh) 具有连续侧墙的半导体设置及其制造方法
KR102320047B1 (ko) 집적회로 소자 및 그 제조 방법
CN109801971B (zh) 半导体器件
CN107818943B (zh) 半导体装置及其制造方法
US9466696B2 (en) FinFETs and methods for forming the same
CN107393921A (zh) 半导体器件及其制造方法
CN105609421B (zh) 具有自对准栅结构的半导体器件及其制造方法
US10861860B2 (en) Method of manufacturing a semiconductor device
CN106449641B (zh) 具有连续侧墙的半导体设置及其制造方法
CN114823542A (zh) 用于源极/漏极外延区的灵活合并方案
CN112420837B (zh) 半导体结构及其制备方法
US11605737B2 (en) Semiconductor device and manufacturing method thereof
US20220238524A1 (en) Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11888064B2 (en) Semiconductor device and method
CN104681563B (zh) 半导体装置及其制造方法
US20180240881A1 (en) Semiconductor devices including recessed gate electrode portions
KR20190142610A (ko) 반도체 소자 및 그의 제조 방법
CN105633079B (zh) 半导体结构及其制造方法
KR20230012062A (ko) 3차원 메모리 디바이스의 접촉 패드 및 이의 제조 방법
US10181522B2 (en) Simplified gate to source/drain region connections
KR102368594B1 (ko) 핀 커패시터를 포함하는 반도체 소자
US20240204082A1 (en) Method for Forming a Semiconductor Device
US20230343840A1 (en) Semiconductor structure
US20220392889A1 (en) Capacitor structure for semiconductor device and method
CN106057900B (zh) 基于外延层的半导体器件及其制造方法及包括其的电子设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant