CN106409749A - 半导体器件的沟槽结构及其制造方法 - Google Patents

半导体器件的沟槽结构及其制造方法 Download PDF

Info

Publication number
CN106409749A
CN106409749A CN201610071466.XA CN201610071466A CN106409749A CN 106409749 A CN106409749 A CN 106409749A CN 201610071466 A CN201610071466 A CN 201610071466A CN 106409749 A CN106409749 A CN 106409749A
Authority
CN
China
Prior art keywords
lining
groove
substrate
nitrogen
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610071466.XA
Other languages
English (en)
Other versions
CN106409749B (zh
Inventor
林加明
张简旭珂
林俊泽
王英郎
林玮耿
刘全璞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106409749A publication Critical patent/CN106409749A/zh
Application granted granted Critical
Publication of CN106409749B publication Critical patent/CN106409749B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本发明提供一种半导体器件的沟槽结构,包括衬底、隔离结构和衬层。衬底中具有沟槽。隔离结构设置在沟槽中。衬层设置在衬底和隔离结构之间。衬层包括氮,并且衬层在空间上具有不同的氮浓度。本发明还提供了一种制造半导体器件的沟槽结构的方法。

Description

半导体器件的沟槽结构及其制造方法
技术领域
本发明总体涉及半导体领域,更具体地,涉及半导体器件的沟槽结构及其制造方法。
背景技术
诸如浅沟槽隔离件(STI)的沟槽结构用于将半导体晶圆上的各有源区域彼此分离并且隔离。随着电路密度不断增加,STI的沟槽的宽度不断减小,从而增加了STI沟槽的高宽比。将沟槽(或间隙)的高宽比定义为沟槽高度(或间隙高度)除以沟槽宽度(或间隙宽度)。不完全的间隙填充导致不期望的空隙,并且在去除多余的电介质期间暴露不期望的空隙时,不期望的间隙填充增大了包括不期望的缺陷的风险。这些空隙还可以导致各有源区域之间的不充分的隔离。STI中的空隙的存在会影响产率。
发明内容
根据本发明的一个方面,提供了一种半导体器件的沟槽结构,包括:衬底,所述衬底中具有沟槽;隔离结构,设置在所述沟槽中;以及衬层,设置在所述衬底与所述隔离结构之间,其中,所述衬层包括氮,并且所述衬层在空间上具有不同的氮浓度。
优选地,所述沟槽具有底面和至少一个侧壁,并且所述衬层覆盖所述底面和所述侧壁。
优选地,所述沟槽还具有顶部和底部,所述底部比所述顶部更靠近所述底面,并且所述衬层在所述顶部处的氮浓度高于所述衬层在所述底部处的氮浓度。
优选地,所述沟槽还具有设置在所述顶部和所述底部之间的中间部分,并且所述衬层在所述顶部处的氮浓度高于所述衬层在所述中间部分处的氮浓度。
优选地,所述沟槽还具有设置在所述顶部和所述底部之间的中间部分,并且所述衬层在所述底部处的氮浓度高于所述衬层在所述中间部分处的氮浓度。
优选地,所述衬底还包括:多个半导体鳍部,其中,所述沟槽设置在所述多个半导体鳍部中的邻近的两个半导体鳍部之间。
优选地,所述衬层共形地覆盖所述半导体鳍部中的至少一个。
优选地,所述衬层由氮氧化硅制成。
优选地,所述衬层设置为邻近所述衬底。
根据本发明的另一方面,提供了一种用于形成半导体器件的沟槽结构的方法,包括:在衬底的沟槽中形成可流动介电层;固化所述可流动介电层;以及对所述固化的可流动介电层进行退火以形成绝缘结构和衬层,其中,所述绝缘结构形成在所述沟槽中,所述衬层形成在所述绝缘结构与所述衬底之间,所述衬层包括氮,并且所述衬层在空间上具有不同的氮浓度。
优选地,所述衬层由氮氧化硅制成。
优选地,该方法还包括:在所述衬底中形成多个半导体鳍部,其中,所述沟槽形成在所述多个半导体鳍部中的邻近的两个半导体鳍部之间。
优选地,该方法还包括:在所述半导体鳍部中的至少一个上形成掩模层,其中,所述衬层的一部分形成在所述掩模层与所述半导体鳍部之间。
优选地,所述掩模层包括氮化物。
优选地,用于沉积所述可流动介电层的源气体是三甲硅烷基胺(TSA)。
优选地,所述退火包括:执行蒸汽热退火;以及执行干热退火。
优选地,使用臭氧(O3)来固化所述可流动介电层。
优选地,该方法还包括:执行平坦化工艺以去除所述沟槽外部的多余的可流动介电层。
根据本发明的又一方面,提供了一种用于形成半导体器件的沟槽结构的方法,包括:蚀刻衬底以形成沟槽;利用可流动介电层填充所述沟槽;对所述可流动介电层执行氧化处理;以及对所述氧化的可流动介电层执行至少一种退火处理以形成绝缘结构和衬层,其中,所述绝缘结构形成在所述沟槽中,所述衬层形成在所述绝缘结构与所述衬底之间,所述衬层包括氮,并且所述衬层的氮浓度非均匀分布。
优选地,在形成所述沟槽期间形成多个半导体鳍部,并且所述沟槽设置在所述多个半导体鳍部中的两个半导体鳍部之间,所述方法还包括:在所述多个半导体鳍部中的至少一个上形成掩模层,并且所述掩模层包括氮。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的各个方面。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1至图8是根据本发明的一些实施例的处于各个阶段中的制造半导体器件的沟槽结构的方法的截面图。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现所提供主题的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不意欲限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。另外,本发明可以在多个实例中重复参考标号和/或字符。这种重复是为了简化和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等空间关系术语以描述如图所示的一个元件或部件与另一元件或部件的关系。除图中所示的方位之外,空间关系术语意欲包括使用或操作过程中的器件的不同的方位。装置可以以其它方式定位(旋转90度或在其他方位),并且在本文中使用的空间关系描述符可同样地作相应地解释。
除非以其他方式限定,否则本文所使用的所有术语(包括技术术语和科学术语)都具有与本发明所属于的领域的普通技术人员通常理解的相同的意思。还应该理解,除非本文清楚地限定,否则,诸如常用的字典中限定的那些的术语应该被理解为具有与其在相关领域和本发明的内容中的意思一致的意思,并且不应该以理想化和过于正式的形式来解释。
随着半导体器件的尺寸减小,与形成半导体器件相关的各个部件的尺寸也减小。其中一个这样的部件是形成在有源区域之间以提供隔离的浅沟槽隔离件(STI)。如所讨论的,因为沟槽的开口变小而深度却不变,所以部件尺寸的减小导致高宽比增大。用于填充具有较低高宽比的沟槽的技术难以用于充分填充具有高高宽比技术的沟槽。因此,提供了半导体器件的沟槽结构以及用于制造沟槽结构的方法。示出了制造过程的实施例的中间阶段,并且还讨论了这些实施例的变形。
图1至图8是根据本发明的一些实施例的制造半导体器件的沟槽结构的方法在各个阶段中的截面图。参考图1。提供衬底110。衬底110具有顶面112。在一些实施例中,衬底110可以包括硅(Si)。可选地,衬底110可以包括锗(Ge)、硅锗、砷化镓(GaAs)或其他适当的半导体材料。同样可选地,衬底110可以包括外延层。例如,衬底110可以具有位于块状半导体上面的外延层。此外,衬底110可以受到应变从而改善性能。例如,外延层可以包括与块状半导体的半导体材料不同的半导体材料,诸如通过包括选择性外延生长(SEG)的工艺而形成的位于块状硅上面的硅锗层或位于块状硅锗上面的硅层。此外,衬底110可以包括诸如掩埋介电层的绝缘体上半导体(SOI)结构。同样可选地,衬底110可以包括通过诸如注氧隔离(SIMOX)技术、晶圆接合、SEG的方法或其他适当的方法而形成的诸如埋氧(BOX)层的掩埋介电层。各个实施例可以包括任何种类的衬底结构和材料。
图案化的掩模层210(可以是硬掩模层)形成在衬底110的顶面112上方。掩模层210在蚀刻形成在衬底110中的沟槽114(见图2)期间维持图案的完整性。在一些实施例中,在去除多余的填充沟槽114的可流动介电层期间(在图8的工艺中进行讨论),掩模层210用作平坦化停止层。在一些实施例中,掩模层210包括氮化物。例如,掩模层210由氮化硅(SiN)制成。然而,也可以使用诸如SiON、碳化硅或它们的组合的其他材料。掩模层210的厚度可以在从大约200nm至大约1200nm的范围内。可以通过诸如化学汽相沉积工艺沉积(CVD)、等离子体增强的化学汽相沉积(PECVD)、低压化学汽相沉积(LPCVD)的工艺来形成掩模层210。可选地,掩模层210可以首先由氧化硅制成,然后通过氮化转换为SiN。
在一些实施例中,保护层220形成在衬底110的顶面112上方以及掩模层210与衬底110之间。保护层220保护顶面112免于与掩模层210直接接触。例如,对于衬底110中邻近于被绝缘结构120(见图8)填充的沟槽114的部分,保护层220可以保护形成在衬底110的这部分中的有源区域116。在形成绝缘结构120之后,有源区域116用于形成器件(诸如晶体管、电阻器等)。取决于将要形成的器件,有源区域116可以包括由设计条件所确定的n阱和p阱中的任一种。在一些实施例中,保护层220由热氧化物制成。保护层220的厚度可以在从大约20nm至大约100nm的范围内。一旦形成掩模层210和保护层220,就通过合适的光刻和蚀刻工艺将它们图案化以在顶面112上方形成用于图2的沟槽114的开口212和222。
参考图2。通过诸如反应离子蚀刻(RIE)的蚀刻工艺来去除衬底110中通过开口212和222暴露的部分,从而在衬底110中形成沟槽114。沟槽114面向衬底110的顶面112并且分离靠近衬底110的顶面112的有源区域116。沟槽114具有至少一个侧壁114s和底面114b。侧壁114s邻近衬底110的顶面112并且连接衬底110的顶面112和沟槽114的底面114b。在一些实施例中,沟槽114的宽度W在从大约20nm至大约100nm的范围内。在一些实施例中,沟槽114的深度D在从大约50nm至大约350nm的范围内。沟槽114的高宽比(即,深度D(有时本文也称为沟槽高度)除以宽度W)可以约大于7。在其他一些实施例中,高宽比甚至可以约大于8,但是也可以约低于7或介于7与8之间。然而,本领域技术人员将认识到,贯穿说明书所列举的尺寸和值仅仅是实例,可以将其改变以适应不同规模的半导体器件。
沟槽114具有底部115b和顶部115t。底部115b比顶部115t更靠近底面114b,而顶部115t邻近衬底110的顶面112。沟槽114还具有介于顶部115t与底部115b之间的中间部分115m。在一些实施例中,顶部115t、中间部分115m和底部115b可以具有基本相同的高度。
在一些实施例中,半导体器件可以是鳍式场效应晶体管(FinFET),并且沟槽114被配置为隔离形成在衬底110中的两个邻近的半导体鳍部118。换句话说,半导体鳍部118中的一个设置在邻近的两个沟槽114之间。
参考图3。可流动介电材料过填充沟槽114和掩模层210以形成可流动介电层120'。可以通过使用旋涂电介质(SOD)形成工艺或通过利用CVD沉积工艺(诸如自由基成分(radical-component)化学汽相沉积(CVD))来沉积可流动电介质从而形成可流动介电层120'。可流动氧化硅前体的实例包括硅酸盐、硅氧烷、甲基倍半硅氧烷(MSQ)、氢倍半硅氧烷(HSQ)、MSQ/HSQ、全氢硅氮烷(TCPS)、全氢-聚硅氮烷(PSZ)、正硅酸乙酯(TEOS)或甲硅烷基胺(SA)。
在一些实施例中,通过将含硅前体与另一前体(诸如由等离子体生成的“自由基-氮”)反应来沉积可流动介电层120'。在一些实施例中,含硅前体不含碳但是包括甲硅烷基胺,诸如H2N(SiH3)、HN(SiH3)2、N(SiH3)3或它们的组合。甲硅烷基胺可与用作载气、反应气体或两者的附加气体相混合。附加气体的实例可包括H2、N2、NH3、He和Ar等。甲硅烷基胺还可以与其他不含碳的含硅气体(诸如硅烷(SiH4)和乙硅烷(Si2H6)、氢(如,H2)和/或氮(如,N2、NH3))混合。
氮可以包括在自由基前体和含硅前体的任何一个中或包括在两者中。当氮存在于自由基前体中时,其可以称为自由基-氮前体。自由基-氮前体包括通过激发等离子体中较稳定的含氮前体而产生的等离子体废物。例如,可以在反应室等离子体区域或在处理室外的远程等离子体系统(RPS)中激活包含NH3和/或肼(N2H4)的相对稳定的含氮前体,以形成自由基-氮前体,然后将其传输至不含等离子体的衬底处理区域中。稳定的氮前体还可以是包括NH3、N2和H2的组合的混合物。
自由基-氮前体还可以附带诸如氩、氦等的载气。同时,氧(以O2和/或O3的形式)可以输送至远程等离子体区域中以调整用于形成以该技术沉积的可流动介电层120'的自由基-氮前体中的氧含量的多少。
可以在将衬底110的温度维持在相对较低的温度的同时进行可流动介电层120'的沉积。在一些实施例中,在低温条件下(在沉积期间通过冷却衬底110而维持这种低温),将可流动介电层120'沉积在衬底110上。在一些实施例中,在大约-40℃和大约200℃之间的范围内的温度下进行沉积。在一些实施例中,在低于大约100℃的温度下进行沉积。
在一些实施例中,沉积压力在从大约100mTorr至大约10Torr的范围内。在一些实施例中,反应源使用包括三甲硅烷基胺(Si3H9N或TSA)和NH3的气体性环境。在一些实施例中,Si3H9N和NH3的流量分别在从大约100sccm至大约1000sccm之间的范围内和在从大约100sccm至大约2000sccm的范围内。
所沉积的可流动介电层120'能够填充窄而深的间隙并且防止沟槽114中的空隙和缺口。所沉积的可流动介电层120'包括SiOANBHC(或SiONH)的可流动网络结构(network)。在一些实施例中,A为在大约0.8至大约2的范围内的数字,B为在从大约0.01至大约1的范围内的数字,以及C为从大约0.01至大约1的范围内的数字。在一些实施例中,可流动介电层120'在掩模层210上面的厚度在大约1000埃至大约3000埃的范围内。
参考图4。在沉积可流动介电层120'之后,可以对所沉积的介电层120'执行原位固化工艺310。原位意味着在用于沉积可流动介电层120'的工艺室中执行固化工艺310。在一些实施例中,可以在不同的室中(或非原位)执行固化工艺310。
在一些实施例中,使用具有在大约100sccm至大约5000sccm的范围内的流率的臭氧(O3)(氧化处理)或使用具有在大约100sccm至大约5000sccm范围内的流率的蒸汽来操作固化工艺310。在一些实施例中,固化工艺310的温度在大约10℃至大约500℃的范围内。可选地,可以在固化工艺期间使用蒸汽来代替O3。在一些实施例中,固化工艺310的压力范围从大约1Torr至大约760Torr。根据一些实施例,固化工艺310的持续时间在大约10秒至大约2小时的范围内。固化工艺310增加了所沉积的可流动介电层120'的氧含量,该可流动介电层由SiOANBHC(或SiONH)的网络结构制成,并且可流动介电层120'的大部分NH离子和H离子可以被去除。
参考图5。为了将SiONH网络结构转换为SiO(或SiO2)网络结构,可以执行附加的热退火320。在大约200℃至大约1100℃的范围内的温度下进行热退火。可以提供诸如蒸汽的氧气源以帮助SiONH网络结构转换为SiO网络结构。
参考图6。在执行以上描述的热退火320之后,衬底110经受蒸汽热退火工艺330。蒸汽(H2O)将SiONH网络结构转换为SiOH和SiO网络结构。在一些实施例中,在炉中进行蒸汽热退火工艺330。在一些实施例中,在大约150℃至大约800℃的范围内的温度下进行蒸汽热退火工艺330。在温度150℃下开始蒸汽热退火工艺330,并且温度逐渐上升至大约500℃至大约800℃的预定温度。蒸汽热退火工艺330的压力在大约500Torr至大约800Torr的范围内。蒸汽的流率在约1slm到约20slm的范围内。蒸汽热退火工艺330的持续时间在大约20分钟至大约2小时的范围内。蒸汽热退火工艺330将可流动介电层120'中的SiONH网络结构转换为SiOH和SiO网络结构。蒸汽热退火工艺330导致了可流动介电层120'的收缩。蒸汽热退火工艺330的持续时间和温度影响收缩量。
参考图7。在以上描述的蒸汽热退火工艺330之后,进行“干”(没有蒸汽)热退火工艺340以将SiOH和SiO网络结构转换为SiO(或SiO2)网络结构。在干热退火工艺340期间,未使用蒸汽。在一些实施例中,在干热退火工艺340期间,使用惰性气体,诸如N2。在一些实施例中,干热退火工艺340的峰值退火温度在大约1000℃至大约1200℃的范围内。在一些实施例中,在炉中进行干热退火工艺340。干热退火工艺340的压力在大约500Torr至大约800Torr的范围内。干热退火工艺340使用的气体可以包括惰性气体,诸如N2、Ar、He或它们的组合。干热退火工艺340的持续时间在大约30分钟至大约3小时的范围内。干热退火工艺340将可流动介电层120'中的SiOH和SiO网络结构转换为SiO(或SiO2)。干热退火工艺340也导致可流动介电层120'的进一步收缩。干热退火工艺340的持续时间和温度影响收缩量。
蒸汽热退火工艺330和干热退火工艺340导致了可流动介电层120'的收缩。在一些实施例中,可流动介电层120'的体积的收缩范围从大约5%至大约20%。退火工艺(330和340)的持续时间影响收缩量。
在图6的蒸汽热退火工艺330和图7的干热退火工艺340之后,形成衬层125以共形地覆盖沟槽114和半导体鳍部118。由于可流动介电层120'的沉积和退火工艺而形成衬层125。即,衬层125与隔离结构120一起形成。衬层125包括氮,并且可以由氮氧化硅制成,但所要保护的范围并不限于此。
衬层125在空间上具有不同的氮浓度。换句话说,衬层125的氮浓度非均匀分布。例如,衬层125在沟槽114的顶部115t处的氮浓度高于衬层125在沟槽114的底部115b处的氮浓度。这是因为可以包括氮的掩模层210中的氮可以在固化和退火工艺期间扩散至可流动介电层120'。因此,衬层125在顶部115t处的氮浓度更高。另一方面,可流动介电层120'在底部115b处的NH离子的浓度比在顶部115t处高。这可能是因为在固化工艺期间底部115b处的可流动介电层120'的沉淀和/或NH离子难以被去除。因此,底部115b处的衬层125包括一定量的氮。尽管衬层125在底部115b处的氮浓度低于衬层125在顶部115t处的氮浓度,但是衬层125在底部115b处的氮浓度高于衬层125在中间部分115m处的氮浓度。同样,衬层125在顶部115t处的氮浓度高于衬层125在中间部分115m处的氮浓度。在一些实施例中,衬层125在顶部115t处、在中间部分115m处以及在底部115b处的氮浓度可以基本为4:1:2,但所要保护的范围并不限于此。
参考图8。在图7的干退火工艺之后,将图7的可流动介电层120'转换为SiO2,并且执行平坦化工艺350以去除沟槽114外部的可流动介电层120'以形成隔离结构120。在一些实施例中,平坦化工艺是化学机械抛光(CMP)工艺。在一些实施例中,平坦化工艺去除沟槽114外部的可流动介电层120'。在一些实施例中,平坦化工艺还去除掩模层210和保护层220(见图7)。在一些实施例中,平坦化工艺去除掩模层210,然而通过蚀刻工艺去除保护层220。
在去除沟槽114外部多余的可流动介电层120'以及去除掩模层210和保护层220之后,形成沟槽结构。在一些实施例中,可以在半导体鳍部118上或上面形成栅极介电层和栅电极(未示出)以形成FinFET。
在图8中,沟槽结构包括衬底110、隔离结构120和衬层125。衬底110具有沟槽114。在沟槽114中沉积隔离结构120。衬层125设置在衬底110和隔离结构120之间。衬层125包括氮,并且衬层125在空间上具有不同的氮浓度。换句话说,衬层125的氮浓度非均匀分布。在一些实施例中,半导体器件的沟槽结构是浅沟槽绝缘(STI)结构,但所要保护的范围并不限于此。
更加具体地,衬层125设置为邻近衬底110和隔离结构120。衬层125还覆盖至少一个半导体鳍部118。例如,在图8中,衬层125覆盖半导体鳍部118。衬层125可以是其水平部分和垂直部分具有彼此接近的厚度的共形层。衬层125起到若干作用,包括减小衬底110中的应力、使沟槽114角部的圆化程度最小以及在去除多余的可流动介电层120'的平坦化过程期间提供防止空缺形成的一些保护。在一些实施例中,衬层125是氮氧化硅,并且隔离结构120是二氧化硅(SiO2)。
根据前述实施例,沟槽填充有可流动介电层。可流动介电层可以在沉积期间“流动”以填充沟槽中的空隙。该技术可以用于填充具有高或低的高宽比的沟槽。此外,由于衬层和隔离结构都在同一制造工艺期间形成,所以可以省略附加的预形成的衬层。因此,可以减少制造时间和成本。与隔离结构一起形成的衬层具有在空间上不同的氮浓度。换句话说,衬层的氮浓度非均匀分布。
尽管参考STI结构描述了以上实施例,但是本领域的普通技术人员将理解,本发明可以应用于各种其他的结构,其中,期望以高质量电介质填充沟槽或间隙,尤其是具有高高宽比的沟槽或间隙。
根据一些实施例,半导体器件的沟槽结构包括衬底、隔离结构和衬层。衬底中具有沟槽。隔离结构设置在沟槽中。衬层设置在衬底和隔离结构之间。衬层包括氮,并且衬层具有在空间上不同的氮浓度。
根据一些实施例,用于形成半导体器件的沟槽结构的方法包括在衬底的沟槽中形成可流动介电层。固化可流动介电层。退火固化的可流动介电层以形成绝缘结构和衬层。绝缘结构形成在沟槽中,衬层形成在绝缘结构与衬底之间,衬层包括氮,并且衬层具有在空间上不同的氮浓度。
根据一些实施例,用于形成半导体器件的沟槽结构的方法包括蚀刻衬底以形成沟槽。利用可流动介电层填充沟槽。对可流动介电层执行氧化处理。对氧化的可流动介电层执行至少一种退火处理以形成绝缘结构和衬层。绝缘结构形成在沟槽中,衬层形成在绝缘结构与衬底之间,衬层包括氮,并且衬层的氮浓度非均匀分布。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种半导体器件的沟槽结构,包括:
衬底,所述衬底中具有沟槽;
隔离结构,设置在所述沟槽中;以及
衬层,设置在所述衬底与所述隔离结构之间,其中,所述衬层包括氮,并且所述衬层在空间上具有不同的氮浓度。
2.根据权利要求1所述的沟槽结构,其中,所述沟槽具有底面和至少一个侧壁,并且所述衬层覆盖所述底面和所述侧壁。
3.根据权利要求2所述的沟槽结构,其中,所述沟槽还具有顶部和底部,所述底部比所述顶部更靠近所述底面,并且所述衬层在所述顶部处的氮浓度高于所述衬层在所述底部处的氮浓度。
4.根据权利要求3所述的沟槽结构,其中,所述沟槽还具有设置在所述顶部和所述底部之间的中间部分,并且所述衬层在所述顶部处的氮浓度高于所述衬层在所述中间部分处的氮浓度。
5.根据权利要求3所述的沟槽结构,其中,所述沟槽还具有设置在所述顶部和所述底部之间的中间部分,并且所述衬层在所述底部处的氮浓度高于所述衬层在所述中间部分处的氮浓度。
6.一种用于形成半导体器件的沟槽结构的方法,包括:
在衬底的沟槽中形成可流动介电层;
固化所述可流动介电层;以及
对所述固化的可流动介电层进行退火以形成绝缘结构和衬层,其中,所述绝缘结构形成在所述沟槽中,所述衬层形成在所述绝缘结构与所述衬底之间,所述衬层包括氮,并且所述衬层在空间上具有不同的氮浓度。
7.根据权利要求6所述的方法,其中,所述衬层由氮氧化硅制成。
8.根据权利要求6所述的方法,还包括:
在所述衬底中形成多个半导体鳍部,其中,所述沟槽形成在所述多个半导体鳍部中的邻近的两个半导体鳍部之间。
9.一种用于形成半导体器件的沟槽结构的方法,包括:
蚀刻衬底以形成沟槽;
利用可流动介电层填充所述沟槽;
对所述可流动介电层执行氧化处理;以及
对所述氧化的可流动介电层执行至少一种退火处理以形成绝缘结构和衬层,其中,所述绝缘结构形成在所述沟槽中,所述衬层形成在所述绝缘结构与所述衬底之间,所述衬层包括氮,并且所述衬层的氮浓度非均匀分布。
10.根据权利要求9所述的方法,其中,在形成所述沟槽期间形成多个半导体鳍部,并且所述沟槽设置在所述多个半导体鳍部中的两个半导体鳍部之间,所述方法还包括:
在所述多个半导体鳍部中的至少一个上形成掩模层,并且所述掩模层包括氮。
CN201610071466.XA 2015-07-29 2016-02-02 半导体器件的沟槽结构及其制造方法 Active CN106409749B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/812,864 US9871100B2 (en) 2015-07-29 2015-07-29 Trench structure of semiconductor device having uneven nitrogen distribution liner
US14/812,864 2015-07-29

Publications (2)

Publication Number Publication Date
CN106409749A true CN106409749A (zh) 2017-02-15
CN106409749B CN106409749B (zh) 2019-07-19

Family

ID=57795381

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610071466.XA Active CN106409749B (zh) 2015-07-29 2016-02-02 半导体器件的沟槽结构及其制造方法

Country Status (5)

Country Link
US (3) US9871100B2 (zh)
KR (1) KR101727398B1 (zh)
CN (1) CN106409749B (zh)
DE (1) DE102015112826A1 (zh)
TW (1) TWI579923B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US10008414B2 (en) * 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
KR102271768B1 (ko) * 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
US11183423B2 (en) * 2017-11-28 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structure in interlayer dielectric structure for semiconductor devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051478A (en) * 1997-12-18 2000-04-18 Advanced Micro Devices, Inc. Method of enhancing trench edge oxide quality
CN1591817A (zh) * 2003-08-15 2005-03-09 台湾积体电路制造股份有限公司 隔离沟槽的结构及其制造方法
US20080157266A1 (en) * 2005-02-17 2008-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Sti liner modification method
US20100240194A1 (en) * 2009-03-23 2010-09-23 Jung Deokyoung Method of fabricating semiconductor device
CN102201360A (zh) * 2010-03-24 2011-09-28 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构及其形成方法

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869384A (en) * 1997-03-17 1999-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing silicon liner layer and gap filling silicon oxide trench fill layer
US6218720B1 (en) * 1998-10-21 2001-04-17 Advanced Micro Devices, Inc. Semiconductor topography employing a nitrogenated shallow trench isolation structure
TW396520B (en) * 1998-10-30 2000-07-01 United Microelectronics Corp Process for shallow trench isolation
US6600195B1 (en) * 2000-03-21 2003-07-29 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
KR100379336B1 (ko) 2000-12-01 2003-04-10 주식회사 하이닉스반도체 반도체 소자의 분리영역 제조방법
US6498383B2 (en) 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
EP1602125B1 (en) * 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
US6887798B2 (en) * 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
KR100672753B1 (ko) * 2003-07-24 2007-01-22 주식회사 하이닉스반도체 전자트랩을 억제할 수 있는 트렌치형 소자분리막의 형성방법
KR100532503B1 (ko) 2004-02-03 2005-11-30 삼성전자주식회사 쉘로우 트렌치 소자 분리막의 형성 방법
KR100598098B1 (ko) * 2004-02-06 2006-07-07 삼성전자주식회사 매몰 절연 영역을 갖는 모오스 전계 효과 트랜지스터 및그 제조 방법
US7112513B2 (en) * 2004-02-19 2006-09-26 Micron Technology, Inc. Sub-micron space liner and densification process
US9257302B1 (en) * 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7271464B2 (en) * 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7199020B2 (en) * 2005-04-11 2007-04-03 Texas Instruments Incorporated Nitridation of STI liner oxide for modulating inverse width effects in semiconductor devices
KR100696382B1 (ko) * 2005-08-01 2007-03-19 삼성전자주식회사 반도체 소자 및 그 제조방법
TWI327754B (en) * 2006-01-04 2010-07-21 Promos Technologies Inc Method for preparing gate oxide layer
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP2008135504A (ja) * 2006-11-28 2008-06-12 Elpida Memory Inc 半導体装置の製造方法
JP4687671B2 (ja) * 2007-03-16 2011-05-25 セイコーエプソン株式会社 半導体装置の製造方法
TW200847337A (en) * 2007-05-16 2008-12-01 Promos Technologies Inc Method for preparing a shallow trench isolation
TW200847328A (en) * 2007-05-23 2008-12-01 Promos Technologies Inc Method for preparing a shallow trench isolation
KR101284146B1 (ko) 2007-07-19 2013-07-10 삼성전자주식회사 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US8216913B2 (en) * 2007-12-24 2012-07-10 Texas Instruments Incorporated Strain modulation in active areas by controlled incorporation of nitrogen at si-SiO2 interface
KR101003494B1 (ko) * 2008-04-10 2010-12-30 주식회사 하이닉스반도체 메모리 소자의 소자분리 구조 및 형성 방법
US8367515B2 (en) * 2008-10-06 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid shallow trench isolation for high-k metal gate device improvement
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8319311B2 (en) * 2009-03-16 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid STI gap-filling approach
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
SG183873A1 (en) * 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US7947551B1 (en) * 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US8487410B2 (en) * 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
JP2012231007A (ja) * 2011-04-26 2012-11-22 Elpida Memory Inc 半導体装置の製造方法
US8659089B2 (en) * 2011-10-06 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen passivation of source and drain recesses
US8889523B2 (en) * 2012-01-02 2014-11-18 United Microelectronics Corp. Semiconductor process
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8772904B2 (en) * 2012-06-13 2014-07-08 United Microelectronics Corp. Semiconductor structure and process thereof
US9117878B2 (en) * 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US8823132B2 (en) * 2013-01-08 2014-09-02 United Microelectronics Corp. Two-portion shallow-trench isolation
US9564353B2 (en) * 2013-02-08 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with reduced parasitic capacitance and methods of forming the same
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US9460957B2 (en) * 2013-03-12 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for nitrogen-doped shallow-trench isolation dielectric
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
US9184089B2 (en) * 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9130014B2 (en) * 2013-11-21 2015-09-08 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US9299775B2 (en) * 2014-04-16 2016-03-29 GlobalFoundries, Inc. Methods for the production of integrated circuits comprising epitaxially grown replacement structures
CN105448717A (zh) * 2014-06-26 2016-03-30 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN105244269B (zh) * 2014-07-09 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9548362B2 (en) * 2014-10-10 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. High mobility devices with anti-punch through layers and methods of forming same
US9761658B2 (en) * 2014-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation structure with raised portion between active areas and manufacturing method thereof
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US10109507B2 (en) * 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine contamination control in semiconductor manufacturing process
US10008414B2 (en) * 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9773893B1 (en) * 2016-09-26 2017-09-26 International Business Machines Corporation Forming a sacrificial liner for dual channel devices
US10886268B2 (en) * 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
US10037912B2 (en) * 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10460933B2 (en) * 2017-03-31 2019-10-29 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
US10354923B2 (en) * 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US10685884B2 (en) * 2017-07-31 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a Fin-FET and method of manufacturing the same
US10535550B2 (en) * 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US10840154B2 (en) * 2017-11-28 2020-11-17 Taiwan Semiconductor Manufacturing Co.. Ltd. Method for forming semiconductor structure with high aspect ratio
US10923595B2 (en) * 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
US10249730B1 (en) * 2017-12-11 2019-04-02 International Business Machines Corporation Controlling gate profile by inter-layer dielectric (ILD) nanolaminates
US10707330B2 (en) * 2018-02-15 2020-07-07 Globalfoundries Inc. Semiconductor device with interconnect to source/drain
US11114333B2 (en) * 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051478A (en) * 1997-12-18 2000-04-18 Advanced Micro Devices, Inc. Method of enhancing trench edge oxide quality
CN1591817A (zh) * 2003-08-15 2005-03-09 台湾积体电路制造股份有限公司 隔离沟槽的结构及其制造方法
US20080157266A1 (en) * 2005-02-17 2008-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Sti liner modification method
US20100240194A1 (en) * 2009-03-23 2010-09-23 Jung Deokyoung Method of fabricating semiconductor device
CN102201360A (zh) * 2010-03-24 2011-09-28 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构及其形成方法

Also Published As

Publication number Publication date
CN106409749B (zh) 2019-07-19
KR101727398B1 (ko) 2017-04-14
US10854713B2 (en) 2020-12-01
US9871100B2 (en) 2018-01-16
US20170033179A1 (en) 2017-02-02
TWI579923B (zh) 2017-04-21
TW201705291A (zh) 2017-02-01
KR20170015044A (ko) 2017-02-08
US20210083048A1 (en) 2021-03-18
US20180151667A1 (en) 2018-05-31
DE102015112826A1 (de) 2017-02-02

Similar Documents

Publication Publication Date Title
JP7477315B2 (ja) 3-d nandアプリケーションでのプラグ充填堆積の装置および方法
KR101615814B1 (ko) 얕은 트렌치 격리 구조물의 형성 방법
US10115823B2 (en) Semiconductor device and manufacturing method thereof
US7947551B1 (en) Method of forming a shallow trench isolation structure
US10062784B1 (en) Self-aligned gate hard mask and method forming same
US11322412B2 (en) Forming nitrogen-containing low-K gate spacer
US9184089B2 (en) Mechanism of forming a trench structure
CN102024743A (zh) 半导体结构与在鳍状装置之鳍状结构之间形成隔离的方法
US20210083048A1 (en) Semiconductor device
CN111211089B (zh) 集成电路结构及其制造方法
KR101985594B1 (ko) 게이트 스페이서를 선택적으로 성장시킴으로써 트랜지스터를 형성하는 방법
TWI713106B (zh) 製造淺溝渠結構的方法以及製造鰭式場效電晶體的方法
US7235856B1 (en) Trench isolation for semiconductor devices
US10872762B2 (en) Methods of forming silicon oxide layer and semiconductor structure
US20190393325A1 (en) Defect Filling in Patterned Layer
US10879111B1 (en) Dielectric plugs
US9349814B2 (en) Gate height uniformity in semiconductor devices
US20160163559A1 (en) Method for recessing a carbon-doped layer of a semiconductor structure
US10886164B2 (en) Isolated semiconductor layer over buried isolation layer
JP2011082484A (ja) 半導体装置の製造方法
US20150087134A1 (en) Semiconductor isolation region uniformity
KR20230141681A (ko) 격리 영역들을 형성하기 위한 실리콘 라이너의 성막 및 산화

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant