CN106252350B - FinFET器件和形成方法 - Google Patents

FinFET器件和形成方法 Download PDF

Info

Publication number
CN106252350B
CN106252350B CN201510955693.4A CN201510955693A CN106252350B CN 106252350 B CN106252350 B CN 106252350B CN 201510955693 A CN201510955693 A CN 201510955693A CN 106252350 B CN106252350 B CN 106252350B
Authority
CN
China
Prior art keywords
fin
drain
groove
spacer
epitaxial source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510955693.4A
Other languages
English (en)
Other versions
CN106252350A (zh
Inventor
江国诚
刘继文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106252350A publication Critical patent/CN106252350A/zh
Application granted granted Critical
Publication of CN106252350B publication Critical patent/CN106252350B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Abstract

一种FinFET器件和形成方法。根据一些实施例,器件包括第一p型晶体管和第二p型晶体管。第一晶体管包括包含第一鳍的第一材料的第一沟道区。第一晶体管包括每个均位于第一材料中的相应的第一凹槽中和第一沟道区的相对侧壁上的第一外延源极/漏极区和第二外延源极/漏极区。第一晶体管包括位于第一沟道区上的第一栅极堆叠件。第二晶体管包括包含第二鳍的第二材料的第二沟道区。第二材料是与第一材料不同的材料。第二晶体管包括每个均位于第二材料中的相应的第二凹槽中和第二沟道区的相对侧壁上的第三外延源极/漏极区和第四外延源极/漏极区。第二晶体管包括位于第二沟道区上的第二栅极堆叠件。本发明的实施例还涉及FinFET器件和形成方法。

Description

FinFET器件和形成方法
技术领域
本发明的实施例涉及集成电路器件,更具体地,涉及FinFET器件和形成方法。
背景技术
在过去的几十年间,半导体器件(例如,场效应晶体管(FET)器件)的尺寸的减小和固有特征已经使集成电路的速度、性能、密度和每单位功能的成本能够不断改进。根据FET器件的设计和它的其中一个固有特征,调节位于FET器件的源极和漏极之间的栅极下面的沟道区的长度改变与沟道区相关的电阻,从而影响FET器件的性能。更具体地,缩短沟道区的长度减小FET器件的源极至漏极电阻,假定其他参数保持相对不变,当将足够的电压施加至MOS器件的栅极时,这可以允许源极和漏极之间的电流的增大。
为了进一步增强FET器件的性能,可以将应力引入FET器件的沟道区以改进载流子迁移率。通常地,期望在n型FET(“NFET”)器件的沟道区中引入源极至漏极方向的拉伸应力,以及在p型FET(“PFET”)器件的沟道区中引入源极至漏极方向的压缩应力。
用于将压缩应力施加至FET器件的沟道区的常用的方法包括在源极区和漏极区中生长应力源。这种方法通常包括以下步骤:在半导体衬底上形成栅极堆叠件,在栅极堆叠件的侧壁上形成栅极间隔件,沿着栅极间隔件在硅衬底中形成凹槽,以及在凹槽中外延生长应力源。由于应力源具有与硅不同的晶格常数,它膨胀并且将应力施加至位于源极应力源和漏极应力源之间的沟道区。
以上讨论的方法受到图案负载效应的影响,图案负载效应由于图案密度的差别而发生。图案负载效应属于较高图案密度的区域和较低图案密度的区域中的半导体衬底的同时蚀刻之后发生的现象。沟槽的轮廓与图案的密度相关。
发明内容
本发明的实施例提供了一种器件,包括:第一p型晶体管,包括:第一沟道区,位于衬底上并且包括第一鳍的第一材料,第一外延源极/漏极区和第二外延源极/漏极区,每个所述第一外延源极/漏极区和所述第二外延源极/漏极区均位于所述第一材料中的相应的第一凹槽中,所述第一沟道区设置在所述第一外延源极/漏极区和所述第二外延源极/漏极区之间,和第一栅极堆叠件,位于所述第一沟道区上;以及第二p型晶体管,包括:第二沟道区,位于所述衬底上并且包括第二鳍的第二材料,所述第二材料是与所述第一材料不同的材料,第三外延源极/漏极区和第四外延源极/漏极区,每个所述第三外延源极/漏极区和所述第四外延源极/漏极区均位于所述第二材料中的相应的第二凹槽中,所述第二沟道区设置在所述第三外延源极/漏极区和所述第四外延源极/漏极区之间,和第二栅极堆叠件,位于所述第二沟道区上。
本发明的另一实施例提供了一种方法,包括:在衬底上形成第一鳍,所述第一鳍包括位于所述衬底上的第一晶体材料;在所述衬底上形成第二鳍,所述第二鳍包括位于所述衬底上的第二晶体材料,所述第一晶体材料的材料与所述第二晶体材料的材料不同;在所述第一鳍的所述第一晶体材料上形成第一结构以及在所述第二鳍的所述第二晶体材料上形成第二结构;沿着所述第一结构的侧壁形成第一间隔件以及沿着所述第二结构的侧壁形成第二间隔件;同时蚀刻所述第一晶体材料以形成位于所述第一鳍中并且邻近所述第一间隔件的第一凹槽和蚀刻所述第二晶体材料以所述形成位于第二鳍中并且邻近所述第二间隔件的第二凹槽,所述第一凹槽在所述第一间隔件下方横向延伸地比所述第二凹槽在所述第二间隔件下方横向延伸地更远;以及在所述第一凹槽中外延生长第一外延源极/漏极区和在所述第二凹槽中外延生长第二源极/漏极区。
本发明的又一实施例提供了一种方法,包括:在衬底的p型核心逻辑区中形成第一鳍,所述第一鳍包括SiGe沟道层;在所述衬底的p型输入/输出(I/O)区中形成第二鳍,所述第二鳍包括第一硅沟道层;在所述第一鳍上形成第一堆叠件和第二堆叠件,以及在所述第二鳍上形成第三堆叠件和第四堆叠件;在所述第一堆叠件的侧壁上形成第一间隔件,在所述第二堆叠件的侧壁上形成第二间隔件,在所述第三堆叠件的侧壁上形成第三间隔件,以及在所述第四堆叠件的侧壁上形成第四间隔件,所述第一间隔件和所述第二间隔件的相对侧壁限定所述第一间隔件和所述第二间隔件之间的第一距离,所述第三间隔件和所述第四间隔件的相对侧壁限定所述第三间隔件和所述第四间隔件之间的第二距离,所述第一距离小于所述第二距离;同时蚀刻所述第一间隔件和所述第二间隔件之间的所述SiGe沟道层以形成第一凹槽和蚀刻所述第三间隔件和所述第四间隔件之间的所述第一硅沟道层以形成第二凹槽,其中,所述SiGe沟道层以比所述第一硅沟道层更大的垂直蚀刻速率和更大的横向蚀刻速率被蚀刻,所述第一凹槽具有比所述第二凹槽大的深度,所述第一凹槽在所述第一间隔件下方横向延伸的距离比所述第二凹槽在所述第三间隔件下方横向延伸的距离更大;以及在所述第一凹槽中外延生长第一外延源极/漏极区和在所述第二凹槽中外延生长第二源极/漏极区。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1至图12是根据一些实施例的在鳍式场效应晶体管(finFET)的制造中的中间阶段的各个三维(3D)图。
图13A、图13B和图13C是根据一些实施例的示出第一区和第二区中的源极/漏极区的的凹进的3D图和截面图。
图14A、图14B和图14C是根据一些实施例的示出在图13A、图13B和图13C中形成的凹槽中的外延源极/漏极区的形成的3D图和截面图。
图15是根据一些实施例的第一区中的外延源极/漏极区的截面图。
图16是根据一些实施例的第二区中的外延源极/漏极区的截面图。
图17A、图17B和图17C是根据一些实施例的示出第三区和第四区中的源极/漏极区的的凹进的3D图和截面图。
图18A、图18B和图18C是根据一些实施例的示出在图17A、图17B和图17C中形成的凹槽中的外延源极/漏极区的形成的3D图和截面图。
图19是根据一些实施例的第三区中的外延源极/漏极区的截面图。
图20是根据一些实施例的第四区中的外延源极/漏极区的截面图。
图21是根据一些实施例的形成在第二区或第四区中的器件的鳍的沟道的截面图。
图22是根据一些实施例的形成在第三区中的器件的鳍的沟道的截面图。
图23是根据一些实施例的形成在第一区中的器件的鳍的沟道的截面图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
根据各个实施例提供了鳍式场效应晶体管(finFET)及其形成方法。示出了形成finFET的中间阶段。在使用后栅极工艺形成的finFET的背景中讨论了本文了讨论的一些实施例。一些实施例预期先栅极工艺中使用的方面。讨论了实施例的一些变化。本领域普通技术人员将容易理解,可以作出的其他修改预期在其他实施例的范围内。虽然以特定顺序讨论了方法实施例,但是各个其他方法实施例可以以任何逻辑顺序实施并且可以包括本文中描述的更少或更多的步骤。
图1至图12是根据一些实施例的在finFET的制造中的中间阶段的各个三维(3D)图。在图1中,提供衬底20。衬底20可以是半导体衬底,诸如块状半导体衬底、绝缘体上半导体(SOI)衬底、多层或梯度衬底等。衬底20可以包括半导体材料,诸如包括Si和Ge的元素半导体;包括SiC、SiGe、GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb和/或GaInAsP的化合物或合金半导体;或它们的组合。衬底20可以是掺杂或未掺杂的。在具体实例中,衬底20是块状硅衬底。衬底20包括第一区100、第二区200、第三区300和第四区400。虽然分别示出区域100、200、300和400,但是区域100、200、300和400通常是相同衬底20的相应部分,并且图中的具体示出仅是为了清楚的目的。在本文中提供的实例的背景中,第一区100可以是核心逻辑n型器件区;第二区200可以是核心逻辑p型器件区;第三区300可以是输入/输出(I/O)p型器件区;并且第四区400可以是I/O n型器件区。
在图2中,在第二区200、第三区300和第四区400中的衬底20上形成硬掩模22。可以通过氧化(诸如热氧化、化学氧化等)衬底20的表面以在第一区100、第二区200、第三区300和第四区400中形成硬掩模22以及随后蚀刻第一区100中的硬掩模22以暴露第一区100中的衬底20来形成硬掩模22。该蚀刻可以使用可接受的光刻和蚀刻技术。可以使用形成硬掩模22的其他材料和方法。
在图3中,在第一区100中的衬底20上形成半导体层24,而第二区200、第三区300和第四区400中的衬底20保持由硬掩模22掩蔽。如将讨论的,半导体层24可以是相对于衬底20和随后形成的再生长层的材料能够容易氧化的任何半导体材料。在一些实施例中,半导体层24是诸如SiGe的含锗(Ge)材料。可以诸如通过金属有机化学汽相沉积(MOCVD)、分子束外延(MBE)、液相外延(LPE)、汽相外延(VPE)等或它们的组合外延生长半导体层24。半导体层24的厚度可以在从约3nm至约15nm的范围内。
在图4中,从衬底20的第二区200、第三区300和第四区400去除硬掩模22。该去除可以通过使用任何适当的蚀刻,诸如对硬掩模22的材料具有选择性的蚀刻。例如,该蚀刻可以是湿蚀刻,诸如当硬掩模22是氧化物时的稀释HF蚀刻等。可以在形成半导体层24之后原位实施蚀刻。
此外,在图4中,在第一区100中的半导体层24和在第二区200、第三区300和第四区400中的衬底20上形成再生长层26。再生长层26可以是与衬底20相同的材料。例如,在衬底20是块状硅衬底的实施例中,再生长层26可以是硅。可以诸如通过MOCVD、MBE、LPE、VPE等或它们的组合外延生长再生长层26。再生长层26的厚度可以在从约30nm至约60nm的范围内。可以诸如通过化学机械抛光(CMP)平坦化再生长层26。
在图5中,在再生长层26上形成衬垫层28和硬掩模层30。衬垫层28可以是通过氧化(诸如通过热氧化、化学氧化等)再生长层26的表面形成的氧化物。硬掩模层30可以是通过化学汽相沉积(CVD)等沉积的氮化硅、碳氮化硅、氮氧化硅、碳氮氧化硅等或它们的组合。可以使用形成衬垫层28和硬掩模层30的其他材料和方法。
此外,在图5中,硬掩模层30和衬垫层28被图案化并且用作掩模以形成鳍32。硬掩模层30和衬垫层28的图案化可以使用任何可接受的光刻和蚀刻工艺,诸如反应离子蚀刻(RIE)、中性束蚀刻(NBE)等。类似地,将硬掩模层30和衬垫层28用作掩模,蚀刻再生长层26、半导体层24和/或衬底20以形成鳍32。该蚀刻可以使用诸如RIE、NBE等的任何可接受的蚀刻工艺。该蚀刻可以在鳍32之间形成沟槽。如图所示,第一区100中的鳍32包括再生长层26、半导体层24和衬底20。如图所示,第二区200中的鳍32包括再生长层26和衬底20。如图所示,第三区300中的鳍32包括再生长层26和衬底20。如图所示,第四区400中的鳍32包括再生长层26和衬底20。
在图6中,第一区100中的鳍32中的半导体层24转化为第一介电材料34。在一些实施例中,转化工艺是氧化工艺。氧化工艺可以使用蒸汽炉。例如,可以将衬底20放置在炉中,从而使得衬底20暴露于蒸汽环境。如图6所示,当蒸汽环境用于氧化时,蒸汽可以到达半导体层24以将半导体层24转化为第一介电材料34。在一些实施例中,诸如当半导体层24是SiGe时,第一介电材料34可以是SiGeO。可以使用其他转化工艺。在转化工艺期间,可以在鳍32的表面上形成第二介电材料35。例如,也可以在氧化工艺期间氧化鳍32的表面。
在图7中,用绝缘材料36填充沟槽。绝缘材料36可以是诸如氧化硅的氧化物、氮化物等或它们的组合,并且可以通过高密度等离子体化学汽相沉积(HDP-CVD)、可流动CVD(FCVD)(例如,远程等离子体系统中的CVD基材料沉积以及后固化以使其转化为另一材料,诸如氧化物)等或它们的组合形成。可以使用通过任何可接受的工艺形成的其他绝缘材料。在示出的实施例中,绝缘材料36是通过FCVD工艺形成的氧化硅。一旦形成绝缘材料36,可以实施退火工艺。此外,诸如CMP的平坦化工艺可以去除任何过量的绝缘材料、硬掩模层30和衬垫层28,并且可以形成共面的绝缘材料36的顶面和鳍32的顶面。
在图8中,去除第二区200中的鳍32的部分,并且异质外延层38形成为第二区200中的鳍32的相应部分。可以在第一区100、第三区300和第四区400中形成硬掩模层,而第二区200中的鳍32保持暴露。硬掩模层可以是通过化学汽相沉积(CVD)等沉积的氮化硅、碳氮化硅、氮氧化硅、碳氮氧化硅等或它们的组合。可以使用形成硬掩模层的其他材料和方法。可以使用诸如RIE、NBE等的任何可接受的光刻和蚀刻工艺图案化硬掩模层以暴露第二区200。在第二区200暴露而第一区100、第三区300和第四区400被掩蔽的情况下,实施对第二区200中的鳍32的材料具有选择性的蚀刻。该蚀刻可以是任何可接受的蚀刻,诸如使用F基气体、Cl气体等的干蚀刻。该蚀刻使第二区200中的鳍32凹进。
然后,在凹槽中形成异质外延层38。可以诸如通过MOCVD、MBE、LPE、VPE等或它们的组合外延生长异质外延层38。异质外延层38可以包括用于将在第二区200中形成的finFET的可接受的应用的任何材料。在一些实施例中,异质外延层38是硅锗,例如,SixGe1-x,其中,当再生长层26(如果有保留在第二区200中的话)和/或衬底20是硅时,x可以在从约0.50至0.80的范围内。诸如CMP的平坦化工艺可以去除任何过量的异质外延层38和硬掩模层,并且可以形成共面的异质外延层38的顶面和绝缘材料36的顶面。平坦化工艺(如果使用)之后的异质外延层38的厚度可以在从约30nm至约60nm的范围内。第二区200中的鳍32包括异质外延层38。
虽然未具体示出,可以在鳍32和/或衬底20中形成适当的阱。例如,可以在将形成诸如n型finFET的n型器件的衬底20的第一区100和第四区400中形成p阱,并且可以在将形成诸如p型finFET的p型器件的衬底20的第二区200和第三区300中形成n阱。
例如,为了在第一区100和第四区400中形成p阱,可以在衬底20的第二区200和第三区300中的鳍32和绝缘材料36上方形成光刻胶。可以图案化光刻胶以暴露衬底20的第一区100和第四区400。光刻胶可以通过使用旋涂技术形成并且可以使用可接受的光刻技术图案化。一旦图案化光刻胶,可以在第一区100和第四区400中实施p型杂质注入,并且光刻胶可以用作掩模以基本上防止p型杂质注入到第二区200和第三区300内。在第一区100和第四区400中注入至等于或小于1018cm-3的浓度(诸如介于约1017cm-3和约1018cm-3之间)的P型杂质可以是硼、BF2等。在注入之后,诸如通过可接受的灰化工艺,可以去除光刻胶。
此外,为了在第二区200和第三区300中形成n阱,可以在衬底20的第一区100和第四区400中的鳍32和绝缘材料36上方形成光刻胶。可以图案化光刻胶以暴露衬底20的第二区200和第三区300。光刻胶可以通过使用旋涂技术形成并且可以使用可接受的光刻技术图案化。一旦图案化光刻胶,可以在第二区200和第三区300中实施n型杂质注入,并且光刻胶可以用作掩模以基本上防止n型杂质注入到第一区100和第四区400内。在第二区200和第三区300中注入至等于或小于1018cm-3的浓度(诸如介于约1017cm-3和约1018cm-3之间)的n型杂质可以是磷、砷等。在注入之后,诸如通过可接受的灰化工艺,可以去除光刻胶。在注入之后,可以实施退火以活化注入的p型和n型杂质。该注入可以在第一区100和第四区400中形成p阱并且在第二区200和第三区300中形成n阱。
在其他实施例中,可以在再生长层26和/或异质外延层38的外延生长期间原位形成p阱和n阱。可以在不同生长步骤中外延生长不同区域中的再生长层26,不同区域将形成不同的阱,以允许不同的掺杂类型处于不同的区域中。
在图9中,使绝缘材料36凹进以形成隔离区40以及使鳍32从相邻的隔离区40之间突出,隔离区40可以称为浅沟槽隔离(STI)区。可以使用可接受的蚀刻工艺使绝缘材料36凹进,诸如对绝缘材料36的材料具有选择性的蚀刻工艺。例如,可以使用化学氧化物去除,化学氧化物去除使用蚀刻或应用材料公司SICONI工具或稀释氢氟酸(dHF)。
在图10中,在鳍32上形成伪介电层42。例如,伪介电层42可以是氧化硅、氮化硅、它们的组合等并且可以根据诸如CVD、热氧化等的可接受的技术沉积或热生长。
在图11中,在伪介电层42上方形成伪栅极层。伪栅极层可以诸如通过使用CVD等沉积在伪介电层42上方,并且然后诸如通过CMP被平坦化。例如,伪栅极层可以包括多晶硅,但是也可以使用具有高蚀刻选择性的其他材料。然后在伪栅极层上方形成掩模层。掩模层可以诸如通过使用CVD等沉积在伪栅极层上方。例如,掩模层可以包括氮化硅、氮氧化硅、碳氮化硅等。
此外,在图11中,使用可接受的光刻和蚀刻技术图案化掩模层以形成掩模46。此外,通过使用可接受的蚀刻技术,诸如通过转印掩模46的图案而图案化伪栅极层和伪介电层42,以由伪栅极层和伪介电层42分别形成伪栅极44和伪栅极电介质。该蚀刻可以包括诸如RIE、NBE等的可接受的各向异性蚀刻。伪栅极44覆盖鳍32的相应的沟道区。伪栅极44也可以具有与相应的鳍32的纵向基本上垂直的纵向。
虽然未具体示出,可以实施用于轻掺杂源极/漏极(LDD)区的注入。类似于以上讨论的注入,可以在第二区200和第三区300(例如,用于p型器件)上方形成诸如光刻胶的掩模,而暴露第一区100和第四区400(例如,用于n型器件),并且可以将n型杂质注入至第一区100和第四区400中的暴露的鳍32内。然后可以去除掩模。随后,可以在第一区100和第四区400上方形成诸如光刻胶的掩模,而暴露第二区200和第三区300,并且可以将p型杂质注入至第二区200和第三区300中的暴露的鳍32内。然后可以去除掩模。n型杂质可以是先前讨论的任何n型杂质,并且p型杂质可以是先前讨论的任何p型杂质。轻掺杂源极/漏极区可以具有从约1015cm-3至约1016cm-3的杂质浓度。退火可以用于活化注入的杂质。
此外,在图11中,沿着伪栅极电介质、伪栅极44和掩模46的侧壁形成栅极间隔件48。可以通过共形沉积(诸如通过CVD等)材料和随后各向异性地蚀刻材料来形成栅极间隔件48。栅极间隔件48的材料可以是氮化硅、碳氮化硅、它们的组合等。
此外,在图11中,在鳍32中形成外延源极/漏极区50和52。可以在第二区200和第三区300中形成硬掩模层,而第一区100和第四区400中的鳍32保持暴露。硬掩模层可以是通过CVD等沉积的氮化硅、碳氮化硅、碳氮氧化硅等或它们的组合。可以使用形成硬掩模层的其他材料和方法。可以使用诸如RIE、NBE等的任何可接受的光刻和蚀刻工艺图案化硬掩模层以暴露第一区100和第四区400。在第一区100和第四区400暴露以及第二区200和第三区300被掩蔽的情况下,实施对第一区100和第四区400中的鳍32的材料具有选择性的蚀刻。蚀刻可以是诸如干蚀刻或湿蚀刻的任何可接受的蚀刻,其可以是各向异性或各向同性的。在一些实施例中,蚀刻可以包括使用F基气体、Cl基气体等的干蚀刻。该蚀刻使第一区100和第四区400中的鳍32的源极/漏极区凹进。下面在图17A至图17C、图18A至图18C、图19和图20的背景中讨论了在第一区100和第四区400中的鳍32的源极/漏极区中形成的凹槽的额外细节。
然后在第一区100和第四区400中的凹槽中外延生长外延源极/漏极区50。外延生长可以通过使用MOCVD、MBE、LPE、VPE等或它们的组合。外延源极/漏极区50可以包括任何可接受的材料,诸如适合于器件类型,例如,n型。例如,用于n型器件的外延源极/漏极区50可以包括硅、SiP、SiC、SiCP等或它们的组合。下面在图18A至图18C、图19和图20的背景中讨论了第一区100和第四区400中的外延源极/漏极区50的实例的额外细节。然后,例如,使用对硬掩模层的材料具有选择性的蚀刻,可以从第二区200和第三区300去除硬掩模层。
可以在第一区100和第四区400中形成另一硬掩模层,而第二区200和第三区300中的鳍32保持暴露。硬掩模层可以是通过CVD等沉积的氮化硅、碳氮化硅、氮氧化硅、碳氮氧化硅等或它们的组合。可以使用形成硬掩模层的其他材料和方法。可以使用诸如RIE、NBE等的任何可接受的光刻和蚀刻工艺图案化硬掩模层以暴露第二区200和第三区300。在第二区200和第三区300暴露以及第一区100和第四区400被掩蔽的情况下,实施对第二区200和第三区300中的鳍32的材料具有选择性的蚀刻。蚀刻可以是诸如干蚀刻或湿蚀刻的任何可接受的蚀刻,其可以是各向异性或各向同性的。在一些实施例中,蚀刻可以包括使用F基气体、Cl基气体等的干蚀刻。该蚀刻使第二区200和第三区300中的鳍32的源极/漏极区凹进。下面在图13A至图13C、图14A至图14C、图15和图16的背景中讨论了在第二区200和第三区300中的鳍32的源极/漏极区中形成的凹槽的额外细节。
然后在第二区200和第三区300中的凹槽中外延生长外延源极/漏极区52。外延生长可以通过使用MOCVD、MBE、LPE、VPE等或它们的组合。外延源极/漏极区52可以包括任何可接受的材料,诸如适合于器件类型,例如,p型。例如,用于p型器件的外延源极/漏极区52可以包括SiGe、SiGeB、Ge、GeSn等。下面在图14A至图14C、图15和图16的背景中讨论了第二区200和第三区300中的外延源极/漏极区52的实例的额外细节。然后,例如,使用对硬掩模层的材料具有选择性的蚀刻,可以从第一区100和第四区400去除硬掩模层。
在图12中,在鳍32上方形成底部层间电介质(ILD0)54。ILD0 54可以包括共形地形成在外延源极/漏极区50和52、栅极间隔件48、掩模46和隔离区40上的诸如蚀刻停止层(ESL)的第一层。在一些实施例中,ESL可以包括使用原子层沉积(ALD)、CVD等或它们的组合形成的氮化硅、碳氮化硅等。ILD0 54还可以包括沉积在第一层上方的第二层。ILD0 54的第二层可以包括磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)、未掺杂的硅酸盐玻璃(USG)等并且可以通过诸如CVD、等离子体增强CVD(PECVD)、FCVD等或它们的组合的任何合适的方法沉积。
实施诸如CMP的平坦化工艺以使ILD0 54的顶面与伪栅极44的顶面齐平。CMP也可以从伪栅极44上方去除掩模46。因此,通过ILD0 54暴露伪栅极44的顶面。
然后,在蚀刻步骤中去除伪栅极44和伪栅极电介质,从而形成至相应的鳍32的穿过ILD0 54并且由栅极间隔件48限定的开口。该开口暴露鳍32的相应的沟道区。每个沟道区均设置在相邻的一对外延源极/漏极区50或52之间。蚀刻步骤可以对伪栅极44和伪栅极电介质的材料具有选择性,该蚀刻可以是干蚀刻或湿蚀刻。当蚀刻伪栅极44时,在蚀刻期间,伪栅极电介质可以用作蚀刻停止层。在去除伪栅极44之后,然后可以蚀刻伪栅极电介质。
在图12中,在穿过ILD0 54的开口中形成栅极电介质和栅电极(共同地“栅极堆叠件56”)。界面电介质可以形成在每个开口中和相应的鳍32上。例如,界面电介质可以是氧化物等。作为实例,第一界面层可以形成在开口中以及第一区100、第二区200、第三区300和第四区400中的鳍32上。例如,可以使用ALD氧化物沉积形成与区域100、200、300和400中的结构共形的第一界面层。随后,可以在第三区300和第四区400中形成光刻胶,而第一区100和第二区200保持暴露。光刻胶可以通过使用旋涂技术形成并且可以使用可接受的光刻技术被图案化。一旦图案化光刻胶,可以实施对第一界面介电层的材料具有选择性的蚀刻以从第一区100和第二区200去除第一界面介电层。然后,例如,使用用于形成氧化物的化学氧化,可以在通过第一区100和第二区200中的开口暴露的鳍32上形成第二界面层。化学氧化可以包括将鳍32暴露于诸如臭氧、水、过氧化氢等的化学氧化剂。因此,实施例预期在第一区100和第二区200中形成与第三区300和第四区400中不同的界面层。下面在图21、图22和图23的背景中讨论了这些界面层的额外细节。
可以在界面层上形成栅极介电层。栅极介电层还可以包括高k介电层,高k介电层共形地形成在ILD0 54的顶面上和沿着栅极间隔件48的侧壁形成在开口中以及形成在界面电介质上。高k介电层可以具有大于约7.0的k值,并且可以包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金属氧化物或硅酸盐或它们的组合。高k介电层的形成方法可以包括ALD、CVD、分子束沉积(MBD)等或它们的组合。其他实施例预期用于栅极电介质的其他材料,诸如不是高k的材料。
栅电极形成在栅极电介质上。栅电极可以是多层结构。例如,栅电极可以包括共形地形成在栅极电介质上的覆盖层、共形地形成在覆盖层上的一个或多个功函调节层以及形成在功函调节层上并且填充开口的诸如金属的含金属材料。在实例中,覆盖层可以包括使用ALD、CVD等由TiN等形成的位于栅极电介质上的第一子层以及使用ALD、CVD等由TaN等形成的位于第一子层上的第二子层。功函调节层可以使用ALD、CVD等由TiAl、TiN等形成。含金属材料可以是使用CVD、物理汽相沉积(PVD)等或它们的组合沉积的钨(W)、铝(Al)、钴(Co)、钌(Ru)、它们的组合等。
接下来,可以实施诸如CMP的平坦化工艺以去除栅电极和栅极电介质的过量部分,该过量部分位于ILD0 54的顶面上方。
虽然未示出,可以在ILD0 54和栅极堆叠件56上方沉积上ILD(ILD1),并且然后形成穿过ILD1和ILD0 54至外延源极/漏极区50和52的接触件。ILD1可以由诸如PSG、BSG、BPSG、USG等的介电材料形成并且可以通过诸如CVD和PECVD的任何合适的方法沉积。可以形成穿过ILD1和ILD0 54的用于接触件的开口。可以使用可接受的光刻和蚀刻技术形成该开口。可以在开口中形成诸如扩散阻挡层、粘合层等的衬垫和导电材料。衬垫可以包括钛、氮化钛、钽、氮化钽等。导电材料可以是铜、铜合金、银、金、钨、铝、镍等。可以实施诸如CMP的平坦化工艺以从ILD1的表面去除过量材料。剩余的衬垫和导电材料在开口中形成接触件。可以实施退火工艺以在外延源极/漏极区50与52和接触件之间的界面处形成硅化物。可以实施进一步的处理步骤。例如,各个金属间电介质(IMD)和它们的相应的金属化可以形成在ILD1上方。
图13A、图13B和图13C示出以上关于图11讨论的第二区200和第三区300中的源极/漏极区的凹进。图13A是衬底20上的第二区200和第三区300的3D图。图13B是第二区200的截面图,其也是图13A中的截面B-B。图13C是第三区300的截面图,其也是图13A中的截面C-C。在相同的蚀刻工艺中实施第二区200和第三区300中的鳍32的凹进。在该蚀刻工艺中使用的蚀刻剂可以以比再生长层26的材料更快的速率(包括各向异性蚀刻和各向同性蚀刻的速率)蚀刻异质外延层38的材料。当异质外延层38是SiGe并且再生长层26是硅时,示例蚀刻工艺包括使用干蚀刻,该干蚀刻使用F基气体、Cl基气体等。
如图所示,第二区200中的伪电介质、伪栅极44和掩模46的相邻的堆叠件(共同地,“伪堆叠件44/46”)上的栅极间隔件48的外表面之间的最近距离60小于第三区300中的相邻的伪堆叠件44/46上的栅极间隔件48的外表面之间的最近距离62。异质外延层38和再生长层26的材料的不同的蚀刻速率可以使可能在蚀刻期间发生的图案负载效应抵消,诸如当距离62大于距离60时。如将关于图15和图16进一步详细地讨论的,在第二区200中形成的凹槽的深度64可以大于在第三区300中形成的凹槽的深度66。
图14A、图14B和图14C分别示出以上关于图11讨论的第二区200和第三区300中的凹槽中的外延源极/漏极区52’和52”(共同地,52)的外延生长。图14A是衬底20上的第二区200和第三区300的3D图。图14B是第二区200的截面图,其也是图14A中的截面B-B。图14C是第三区300的截面图,其也是图14A中的截面C-C。在相同的生长工艺中实施第二区200和第三区300中的外延源极/漏极区52的外延生长。如将在图15和图16中进一步讨论的,图14B中的第二区200中的外延源极/漏极区52’和图14C中的第三区300中的外延源极/漏极区52”可以填充相应的凹槽。
图15示出第二区200中的外延源极/漏极区52’的截面图,外延源极/漏极区52’包括第一部分52a’和第二部分52b’。如图所示,外延源极/漏极区52’的第一部分52a’填充如关于图13A和图13B讨论所形成的凹槽。例如,第一部分52a’可以是SixGe1-x,其中,x可以在从约0.30至0.70的范围内,第一部分52a’是硼掺杂的,掺杂浓度在从约7×1020cm-3至约2×1021cm-3的范围内。例如,第二部分52b’可以是SixGe1-x,其中,x可以在从约0.00至0.40的范围内,第二部分52b’是硼掺杂的,掺杂浓度在从约5×1020cm-3至约2×1021cm-3的范围内。
第二区200中的外延源极/漏极区52’(例如,第一部分52a’)可以具有邻近距离68。邻近距离68是外延源极/漏极区52’的外表面和最近的伪堆叠件44/46的最近侧壁表面的平面之间的横向距离。在一些实施例中,邻近距离68可以在从约0nm至约8nm的范围内。第一部分52a’可以具有从底面至顶面的厚度70。在一些实施例中,厚度70可以在从约20nm至约40nm的范围内。第二部分52b’可以具有从底面至顶面的厚度72。在一些实施例中,厚度72可以在从约5nm至约10nm的范围内。距离74可以介于相邻的伪堆叠件44/46的相对侧壁之间,其也可以是距离60加上两倍的栅极间隔件48的厚度。在一些实施例中,距离74可以在从约15nm至约36nm的范围内。外延源极/漏极区52’可以具有从鳍32的顶面(例如,异质外延层38的顶面)至外延源极/漏极区52’(例如,第二部分52b’)的顶面的凸起高度76。在一些实施例中,凸起高度76可以在从约5nm至约10nm的范围内。
图16示出第三区300中的外延源极/漏极区52”的截面图,外延源极/漏极区52”包括第一部分52a”和第二部分52b”。如图所示,外延源极/漏极区52”的第一部分52a”填充如关于图13A和图13C讨论所形成的凹槽。例如,第一部分52a”可以是SixGe1-x,其中,x可以在从约0.30至0.70的范围内,第一部分52a”是硼掺杂的,掺杂浓度在从约7×1020cm-3至约2×1021cm-3的范围内。例如,第二部分52b”可以是SixGe1-x,其中,x可以在从约0.00至0.40的范围内,第二部分52b”是硼掺杂的,掺杂浓度在从约5×1020cm-3至约2×1021cm-3的范围内。第三区300中的外延源极/漏极区52”中的第一部分52a”可以与第二区200中的外延源极/漏极区52’中的第一部分52a’同时外延生长。第三区300中的外延源极/漏极区52”中的第二部分52b”可以与第二区200中的外延源极/漏极区52’中的第二部分52b’同时外延生长。
第三区300中的外延源极/漏极区52”(例如,第一部分52a”)可以具有邻近距离78。邻近距离78是外延源极/漏极区52”的外表面和最近的伪堆叠件44/46的最近侧壁表面的平面之间的横向距离。在一些实施例中,邻近距离78可以在从约4nm至约15nm的范围内。第一部分52a”可以具有从底面至顶面的厚度80。在一些实施例中,厚度80可以在从约20nm至约40nm的范围内。第二部分52b”可以具有从底面至顶面的厚度82。在一些实施例中,厚度82可以在从约5nm至约10nm的范围内。距离84可以介于相邻的伪堆叠件44/46的相对侧壁之间,其也可以是距离62加上两倍的栅极间隔件48的厚度。在一些实施例中,距离84可以在从约40nm至约100nm的范围内。外延源极/漏极区52”可以具有从鳍32的顶面(例如,再生长层26的顶面)至外延源极/漏极区52”(例如,第二部分52b”)的顶面的凸起高度86。在一些实施例中,凸起高度86可以在从约5nm至约10nm的范围内。
分别用于第二区200和第三区300中的外延源极/漏极区52’和52”的凹槽的蚀刻期间的不同蚀刻速率可以使得外延源极/漏极区52’和52”的轮廓不同。例如,第二区200中的凹槽的深度64可以大于第三区300中的凹槽的深度66,即使第二区200中的距离60小于第三区中的距离62。类似地,蚀刻的各向同性蚀刻组分可以底切栅极间隔件48,这在第二区200中比第三区300中更显著,诸如由于第二区200中的较大的蚀刻速率。这可以导致第三区300中的外延源极/漏极区52”的邻近距离78比第二区200中的源极/漏极区52’的邻近距离68更大。在一些实施例中,邻近距离78比邻近距离68大从约2nm至约8nm的范围内。
图17A、图17B和图17C示出了以上关于图11讨论的第一区100和第四区400中的源极/漏极区的凹进。图17A是衬底20上的第一区100和第四区400的3D图。图17B是第一区100的截面图,其也是图17A中的截面B-B。图17C是第四区400的截面图,其也是图17A中的截面C-C。在相同的蚀刻工艺中实施第一区100和第四区400中的鳍32的凹进。当再生长层26是硅时,示例蚀刻工艺包括使用干蚀刻,该干蚀刻使用F基气体、Cl基气体等。
如图所示,第一区100中的相邻的伪堆叠件44/46上的栅极间隔件48的外表面之间的最近距离88小于第四区400中的相邻的伪堆叠件44/46上的栅极间隔件48的外表面之间的最近距离90。由于第一区100和第四区400中的图案负载效应和蚀刻的相同材料(例如,再生长层26),第四区400中的再生长层26可以以比第一区100中的再生长层26更快的速率蚀刻。如将关于图19和图20进一步详细讨论的,第一区100中形成的凹槽的深度92可以小于第四区400中形成的凹槽的深度94。
图18A、图18B和图18C示出以上关于图11讨论的第一区100和第四区400中的凹槽中的外延源极/漏极区50’和50”(共同地,50)的外延生长。图18A是衬底20上的第一区100和第四区400的3D图。图18B是第一区100的截面图,其也是图18A中的截面B-B。图18C是第四区400的截面图,其也是图18A中的截面C-C。在相同的生长工艺中实施第一区100和第四区400中的外延源极/漏极区50的外延生长。如将在图19和图20中进一步讨论的,图18B中的第一区100中的外延源极/漏极区50’可以填充凹槽,而图18C中的第四区400中的外延源极/漏极区50”可能不完全填充凹槽。
图19示出第一区100中的外延源极/漏极区50’的截面图,外延源极/漏极区50’包括第一部分50a’、第二部分50b’和第三部分50c’。如图所示,外延源极/漏极区50’的第一部分50a’形成在诸如再生长层26和衬底20的晶体材料的表面上,而不形成在诸如第一介电材料34的非晶体材料的表面上。因此,图19示出沿着第一区100中的凹槽的表面的三个单独的第一部分50a’。外延源极/漏极区50’的第二部分50b’形成在第一部分50a’上(例如,晶体材料的表面上),并且不形成在非晶体材料的表面上。外延源极/漏极区50’的第三部分50c’填充第一区100中的凹槽的剩余部分。虽然第三部分50c’可能未成核并且从诸如第一介电材料34的非晶体材料的表面生长,但是第三部分50c’的生长前沿可以从相邻的晶体材料的表面生长并且在非晶体材料的表面上合并。例如,第一部分50a’可以是未掺杂的Si。例如,第二部分50b’可以是磷掺杂的SiP,掺杂浓度在从约2×1020cm-3至约8×1020cm-3的范围内。例如,第三部分50c’可以是磷掺杂的SiP,掺杂浓度在从约1×1021cm-3至约3×1021cm-3的范围内。
第一区100中的外延源极/漏极区50’(例如,第一部分50a’)可以具有邻近距离96。邻近距离96是外延源极/漏极区50’的外表面和最近的伪堆叠件44/46的最近侧壁表面的平面之间的横向距离。在一些实施例中,邻近距离96可以在从约2nm至约8nm的范围内。第一部分50a’可以具有厚度98。在一些实施例中,厚度98可以在从约5nm至约30nm的范围内。第二部分50b’可以具有厚度102。在一些实施例中,厚度102可以在从约5nm至约10nm的范围内。第三部分50c’可以具有厚度104。在一些实施例中,厚度104可以在从约20nm至约50nm的范围内。距离106可以介于相邻的伪堆叠件44/46的相对侧壁之间,其也可以是距离88加上两倍的栅极间隔件48的厚度。在一些实施例中,距离106可以在从约15nm至约36nm的范围内。外延源极/漏极区50’可以具有从鳍32的顶面(例如,再生长层26的顶面)至外延源极/漏极区50’(例如,第三部分50c’)的顶面的凸起高度108。在一些实施例中,凸起高度108可以在从约5nm至约10nm的范围内。第一介电材料34可以具有厚度110。在一些实施例中,厚度110可以在从约5nm至约25nm的范围内。
图20示出第四区400中的外延源极/漏极区50”的截面图,外延源极/漏极区50”包括第一部分50a”、第二部分50b”和第三部分50c”。如图所示,外延源极/漏极区50”的第一部分50a”是沿着如关于图17A和图17C讨论所形成的凹槽中的晶体材料(例如,再生长层26和/或衬底20)的表面的共形层。如图所示,外延源极/漏极区50”的第二部分50b”是沿着第一部分50a”的表面的共形层。外延源极/漏极区50”的第三部分50c”位于第二部分50b”上并且在一些实施例中可能不完全填充凹槽的剩余部分。例如,第一部分50a”可以是未掺杂的Si。例如,第二部分50b”可以是磷掺杂的SiP,掺杂浓度在从约2×1020cm-3至约8×1020cm-3的范围内。例如,第三部分50c”可以是磷掺杂的SiP,掺杂浓度在从约1×1021cm-3至约3×1021cm-3的范围内。第四区400中的外延源极/漏极区50”中的第一部分50a”可以与第一区100中的外延源极/漏极区50’中的第一部分50a’同时外延生长。第四区400中的外延源极/漏极区50”中的第二部分50b”可以与第一区100中的外延源极/漏极区50’中的第二部分50b’同时外延生长。第四区400中的外延源极/漏极区50”中的第三部分50c”可以与第一区100中的外延源极/漏极区50’中的第三部分50c’同时外延生长。
第四区400中的外延源极/漏极区50”(例如,第一部分50a”)可以具有邻近距离112。邻近距离112是外延源极/漏极区50”的外表面和最近的伪堆叠件44/46的最近侧壁表面的平面之间的横向距离。在一些实施例中,邻近距离112可以在从约2nm至约8nm的范围内。第一部分50a”可以具有厚度114。在一些实施例中,厚度114可以在从约5nm至约30nm的范围内。第二部分50b”可以具有厚度116。在一些实施例中,厚度116可以在从约5nm至约10nm的范围内。第三部分50c”可以具有厚度118。在一些实施例中,厚度118可以在从约20nm至约50nm的范围内。距离120可以介于相邻的伪堆叠件44/46的相对侧壁之间,其也可以是距离90加上两倍的栅极间隔件48的厚度。在一些实施例中,距离120可以在从约40nm至约100nm的范围内。例如,外延源极/漏极区50”可以从鳍32的顶面(例如,再生长层26的顶面)至外延源极/漏极区50”(例如,第三部分50c”)的顶面凹陷尺寸122。在一些实施例中,尺寸122可以在从约5nm至约20nm的范围内。
由于当蚀刻再生长层26的相同的材料以分别在第一区100和第四区400中形成用于外延源极/漏极区50’和50”的凹槽时的图案负载效应,外延源极/漏极区50’和50”的轮廓可以不同。例如,由于尺寸90大于距离88,图案负载效应可以使得蚀刻的各向异性组分(其可以是主要蚀刻组分)在第四区400中比在第一区100中年以更快的速率蚀刻。因此,第四区400中的凹槽的深度94可以大于第一区100中的凹槽的深度92。例如,在一些实施例中,第四区400中的凹槽的深度94比第一区100中的凹槽的深度92大从约3nm至约15nm的范围内。此外,各向同性蚀刻组分在第一区100和第四区400中可以具有相同或相似的蚀刻速率。因此,第一区100和第四区400中的伪堆叠件44/46下面的凹进的横向底切可以相同或相似,并且第一区100和第四区400中的邻近距离96和112可以相同或相似。
虽然前述讨论参考某些尺寸背景下的伪堆叠件44/46,但是本领域普通技术人员将理解,在通过伪堆叠件44/46的去除限定的开口中形成栅极堆叠件56之后,代替伪堆叠件44/46,这种尺寸在栅极堆叠件56的背景下保持。例如,邻近距离可以是外延源极/漏极区50或52的外表面和最近的栅极堆叠件56的最近侧壁表面(例如,共形界面或栅极介电层的外侧壁表面)的平面之间的横向距离。
图21、图22和图23示出了形成在区域100、200、300和400中的器件的沟道区处的鳍的截面图。示出了在如以上关于图12讨论地形成栅极堆叠件56之后的器件。为了清楚,图21、图22和图23的截面图垂直于图14A和图18A中示出的截面B-B和C-C。
图21示出了形成在第三区300中的器件的鳍32的沟道和/或形成在第四区400中的器件的鳍的沟道的截面图。图21示出鳍32,鳍32包括再生长层26,从相邻的隔离区40之上突出。栅极堆叠件56位于鳍32上和上方并且包括界面层130、栅极介电层132和栅电极134。可以如以上关于图12讨论地形成栅极堆叠件56。在一些实施例中,界面层130的厚度可以在从约2nm至约5nm的范围内。鳍32可以具有突出于隔离区40之上的鳍高度136。在一些实施例中,鳍高度136可以在从约30至约60nm的范围内。鳍32可以具有从一个侧壁表面至另一侧壁表面的鳍宽度138。在一些实施例中,鳍宽度138可以在从约4nm至约10nm的范围内。
图22示出了形成在第一区100中的器件的鳍32的沟道的截面图。图22示出鳍32,鳍32包括第一介电材料34和再生长层26,从相邻的隔离区40之上突出。栅极堆叠件56位于鳍32上和上方并且包括界面层140、栅极介电层142和栅电极144。可以如以上关于图12讨论地形成栅极堆叠件56。在一些实施例中,界面层140的厚度可以在从约5nm至约15nm的范围内。鳍32(在该实例中,例如,再生长层26)可以具有突出于隔离区40之上和第一介电材料34之上的鳍高度146。在一些实施例中,鳍高度146可以在从约30nm至约60nm的范围内。在一些实施例中,第一介电材料34可以完全位于隔离区40的顶面之上或完全位于隔离区40的顶面之下。鳍32(在该实例中,例如,再生长层26)可以具有从一个侧壁表面至另一侧壁表面的鳍宽度148。在一些实施例中,鳍宽度148可以在从约4nm至约10nm的范围内。
图23示出了形成在第二区200中的器件的鳍32的沟道的截面图。图23示出鳍32,鳍32包括异质外延层38,从相邻的隔离区40之上突出。栅极堆叠件56位于鳍32上和上方并且包括界面层150、栅极介电层152和栅电极154。可以如以上关于图12讨论地形成栅极堆叠件56。在一些实施例中,界面层150的厚度可以在从约5nm至约15nm的范围内。鳍32(在该实例中,例如,异质外延层38)可以具有突出于隔离区40之上和异质外延层38与下面的材料之间的界面之上的鳍高度156。在一些实施例中,鳍高度156可以在从约30nm至约60nm的范围内。在一些实施例中,异质外延层38可以完全位于隔离区40的顶面之上或可以部分地延伸在隔离区40的顶面之下。鳍32(在该实例中,例如,异质外延层38)可以具有从一个侧壁表面至另一侧壁表面的鳍宽度158。在一些实施例中,鳍宽度158可以在从约4nm至约10nm的范围内。
一些实施例可以获得优势。通过在不同区域(例如,第二区200和第三区300)中的鳍的沟道区中具有不同的材料,当对源极/漏极区实施同时蚀刻时可以控制凹槽轮廓,这可以抵消图案负载效应。这可以有利地在那些不同的区域中产生不同的邻近距离。不同的邻近距离可以在集成电路中产生增大的可靠性。例如,当第三区300是I/Op型器件区并且第二区200是核心逻辑p型器件区时,与第二区中的核心逻辑p型器件相比,更高的VDD可以用于第三区300中的I/O p型器件,并且因此,与第二区200相比,在第三区300中,增大的邻近距离可以是有利的。诸如以上描述的一些实施例可以容易和简单地集成到工艺流程中,并且可以是有成本效益的。
一个实施例是一种器件。该器件包括第一p型晶体管和第二p型晶体管。第一p型晶体管包括位于衬底上的包括第一鳍的第一材料的第一沟道区。第一p型晶体管包括每个均位于第一材料中的相应的第一凹槽中的第一外延源极/漏极区和第二外延源极/漏极区。第一沟道区设置在第一外延源极/漏极区和第二外延源极/漏极区之间。第一p型晶体管包括位于第一沟道区上的第一栅极堆叠件。第二p型晶体管包括位于衬底上的包括第二鳍的第二材料的第二沟道区。第二材料与第一材料不同。第二p型晶体管包括每个均位于第二材料中的相应的第二凹槽中的第三外延源极/漏极区和第四外延源极/漏极区。第二沟道区设置在第三外延源极/漏极区和第四外延源极/漏极区之间。第二p型晶体管包括位于第二沟道区上的第二栅极堆叠件。
在上述器件中,其中,所述第一凹槽的深度大于所述第二凹槽的深度。
在上述器件中,其中,位于所述第二鳍的顶面处的所述第二凹槽的宽度大于位于所述第一鳍的顶面处的所述第一凹槽的宽度。
在上述器件中,其中,每个所述第一外延源极/漏极区和所述第二外延源极/漏极区均具有第一邻近距离,所述第一邻近距离介于相应的所述第一外延源极/漏极区和所述第二外延源极/漏极区至所述第一栅极堆叠件的最近表面与所述第一栅极堆叠件的相应的最近侧壁的平面之间,并且其中,每个所述第三外延源极/漏极区和所述第四外延源极/漏极区均具有第二邻近距离,所述第二邻近距离介于相应的所述第三外延源极/漏极区和所述第四外延源极/漏极区至所述第二栅极堆叠件的最近表面与所述第二栅极堆叠件的相应的最近侧壁的平面之间,所述第一邻近距离大于所述第二邻近距离。
在上述器件中,其中,所述第一材料是硅锗,并且所述第二材料是硅。
在上述器件中,其中,每个所述第一外延源极/漏极区和所述第二外延源极/漏极区均至少完全填充相应的所述第一凹槽,并且其中,每个所述第三外延源极/漏极区和所述第四外延源极/漏极区均至少完全填充相应的所述第二凹槽。
在上述器件中,其中,所述第一p型晶体管位于所述衬底的核心逻辑区中,并且所述第二p型晶体管位于所述衬底的输入/输出区中。
在上述器件中,还包括:第一n型晶体管,包括:第三沟道区,位于所述衬底上并且包括第三鳍的第三材料,所述第三材料是与所述第二材料相同的材料,鳍中的介电材料设置在所述第三材料和所述衬底之间,第五外延源极/漏极区和第六外延源极/漏极区,每个所述第五外延源极/漏极区和所述第六外延源极/漏极区均位于所述第三材料中的相应的第三凹槽中,所述第三沟道区设置在所述第五外延源极/漏极区和所述第六外延源极/漏极区之间,和第三栅极堆叠件,位于所述第三沟道区上;以及第二n型晶体管,包括:第四沟道区,位于所述衬底上并且包括第四鳍的第四材料,所述第四材料是与所述第二材料相同的材料,第七外延源极/漏极区和第八外延源极/漏极区,每个所述第七外延源极/漏极区和所述第八外延源极/漏极区均位于所述第四材料中的相应的第四凹槽中,所述第四沟道区设置在所述第七外延源极/漏极区和所述第八外延源极/漏极区之间,和第四栅极堆叠件,位于所述第四沟道区上。
另一实施例是一种方法。该方法包括:在衬底上形成第一鳍,第一鳍包括位于衬底上的第一晶体材料;在衬底上形成第二鳍,第二鳍包括位于衬底上的第二晶体材料,第一晶体材料的材料与第二晶体材料的材料不同;在第一鳍的第一晶体材料上形成第一结构以及在第二鳍的第二晶体材料上形成第二结构;沿着第一结构的侧壁形成第一间隔件以及沿着第二结构的侧壁形成第二间隔件;同时蚀刻第一晶体材料以形成位于第一鳍中并且邻近第一间隔件的第一凹槽,以及蚀刻第二晶体材料以形成位于第二鳍中并且邻近第二间隔件的第二凹槽,第一凹槽在第一间隔件下方横向延伸地比第二凹槽在第二间隔件下方横向延伸地更远;以及在第一凹槽中外延生长第一外延源极/漏极区和在第二凹槽中外延生长第二源极/漏极区。
在上述方法中,其中,位于所述第一鳍的顶面处的所述第一凹槽的宽度小于位于所述第二鳍的顶面处的所述第二凹槽的宽度。
在上述方法中,其中,所述第一凹槽的深度大于所述第二凹槽的深度。
在上述方法中,其中,同时蚀刻包括以第一垂直蚀刻速率蚀刻所述第一晶体材料和以第二垂直蚀刻速率蚀刻所述第二晶体材料,所述第一垂直蚀刻速率大于所述第二垂直蚀刻速率。
在上述方法中,其中,同时蚀刻包括以第一横向蚀刻速率蚀刻所述第一晶体材料和以第二横向蚀刻速率蚀刻所述第二晶体材料,所述第一横向蚀刻速率大于所述第二横向蚀刻速率。
在上述方法中,其中,同时蚀刻包括各向同性蚀刻组分,所述各向同性蚀刻组分以比所述第二晶体材料更大的速率蚀刻所述第一晶体材料。
在上述方法中,其中,每个所述第一结构和所述第二结构均为伪栅极堆叠件。
在上述方法中,还包括:去除所述第一结构和所述第二结构;以及在去除所述第一结构的区域形成第一栅极堆叠件,和在去除所述第二结构的区域形成第二栅极堆叠件。
在上述方法中,还包括:在所述衬底上形成第三鳍,所述第三鳍包括第三晶体材料和介电材料,所述介电材料位于所述衬底上,所述第三晶体材料位于所述介电材料上;在所述衬底上形成第四鳍,所述第四鳍包括位于所述衬底上的第四晶体材料,所述第二晶体材料、所述第三晶体材料和所述第四晶体材料的相应材料是相同的材料;在所述第三鳍的所述第三晶体材料上形成第三结构以及在所述第四鳍的所述第四晶体材料上形成第四结构;沿着所述第三结构的侧壁形成第三间隔件以及沿着所述第四结构的侧壁形成第四间隔件;同时蚀刻所述第三晶体材料以形成位于所述第三鳍中并且邻近所述第三间隔件的第三凹槽和蚀刻所述第四晶体材料以所述形成位于所述第四鳍中并且邻近所述第四间隔件的第四凹槽;以及在所述第三凹槽中外延生长第三外延源极/漏极区和在所述第四凹槽中外延生长第四源极/漏极区。
在上述方法中,还包括:在所述衬底上形成第三鳍,所述第三鳍包括第三晶体材料和介电材料,所述介电材料位于所述衬底上,所述第三晶体材料位于所述介电材料上;在所述衬底上形成第四鳍,所述第四鳍包括位于所述衬底上的第四晶体材料,所述第二晶体材料、所述第三晶体材料和所述第四晶体材料的相应材料是相同的材料;在所述第三鳍的所述第三晶体材料上形成第三结构以及在所述第四鳍的所述第四晶体材料上形成第四结构;沿着所述第三结构的侧壁形成第三间隔件以及沿着所述第四结构的侧壁形成第四间隔件;同时蚀刻所述第三晶体材料以形成位于所述第三鳍中并且邻近所述第三间隔件的第三凹槽和蚀刻所述第四晶体材料以所述形成位于所述第四鳍中并且邻近所述第四间隔件的第四凹槽;以及在所述第三凹槽中外延生长第三外延源极/漏极区和在所述第四凹槽中外延生长第四源极/漏极区,其中,所述第三凹槽在所述第三间隔件下方横向延伸的距离与所述第四凹槽在所述第四间隔件下方横向延伸的距离相同,位于所述第三鳍的顶面处的所述第三凹槽的宽度小于位于所述第四鳍的顶面处的所述第四凹槽的宽度,并且所述第三凹槽的深度小于所述第四凹槽的深度。
又一实施例是一种方法。该方法包括:在衬底的p型核心逻辑区中形成第一鳍,第一鳍包括SiGe沟道层;在衬底的p型输入/输出(I/O)区中形成第二鳍,第二鳍包括第一硅沟道层;在第一鳍上形成第一堆叠件和第二堆叠件,以及在第二鳍上形成第三堆叠件和第四堆叠件;在第一堆叠件的侧壁上形成第一间隔件,在第二堆叠件的侧壁上形成第二间隔件,在第三堆叠件的侧壁上形成第三间隔件,以及在第四堆叠件的侧壁上形成第四间隔件,第一间隔件和第二间隔件的相对侧壁限定第一间隔件和第二间隔件之间的第一距离,第三间隔件和第四间隔件的相对侧壁限定第三间隔件和第四间隔件之间的第二距离,第一距离小于第二距离;同时蚀刻第一间隔件和第二间隔件之间的SiGe沟道层以形成第一凹槽和蚀刻第三间隔件和第四间隔件之间的第一硅沟道层以形成第二凹槽,其中,SiGe沟道层以比第一硅沟道层更大的垂直蚀刻速率和更大的横向蚀刻速率蚀刻,第一凹槽具有比第二凹槽大的深度,第一凹槽在第一间隔件下方横向延伸的距离比第二凹槽在第三间隔件下方横向延伸的距离更大;以及在第一凹槽中外延生长第一外延源极/漏极区和在第二凹槽中外延生长第二源极/漏极区。
在上述方法中,还包括:在所述衬底的n型核心逻辑区中形成第三鳍,所述第三鳍包括位于介电材料上的第二硅沟道层;在所述衬底的n型输入/输出(I/O)区中形成第四鳍,所述第四鳍包括第三硅沟道层;在所述第三鳍上形成第五堆叠件和第六堆叠件,以及在所述第四鳍上形成第七堆叠件和第八堆叠件;在所述第五堆叠件的侧壁上形成第五间隔件,在所述第六堆叠件的侧壁上形成第六间隔件,在所述第七堆叠件的侧壁上形成第七间隔件,以及在所述第八堆叠件的侧壁上形成第八间隔件,所述第五间隔件和所述第六间隔件的相对侧壁限定所述第五间隔件和所述第六间隔件之间的第三距离,所述第七间隔件和所述第八间隔件的相对侧壁限定所述第七间隔件和所述第八间隔件之间的第四距离,所述第三距离小于所述第四距离;同时蚀刻所述第五间隔件和所述第六间隔件之间的所述第二硅沟道层以形成第三凹槽和蚀刻所述第七间隔件和所述第八间隔件之间的所述第三硅沟道层以形成第四凹槽,其中,所述第三硅沟道层以比所述第二硅沟道层更大的垂直蚀刻速率被蚀刻,并且所述第三硅沟道层以与所述第二硅沟道层相同的横向蚀刻速率被蚀刻,所述第四凹槽具有比所述第三凹槽大的深度,所述第三凹槽和所述第四凹槽分别在所述第五间隔件和所述第七间隔件下方横向延伸的距离相同;以及在所述第三凹槽中外延生长第三外延源极/漏极区和在所述第四凹槽中外延生长第四源极/漏极区。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (20)

1.一种鳍式场效应晶体管器件,包括:
第一p型晶体管,包括:
第一沟道区,位于衬底上并且包括第一鳍的第一材料,
第一外延源极/漏极区和第二外延源极/漏极区,每个所述第一外延源极/漏极区和所述第二外延源极/漏极区均位于所述第一材料中的相应的第一凹槽中,所述第一沟道区设置在所述第一外延源极/漏极区和所述第二外延源极/漏极区之间,和
第一栅极堆叠件,位于所述第一沟道区上;以及
第二p型晶体管,包括:
第二沟道区,位于所述衬底上并且包括第二鳍的第二材料,所述第二材料是与所述第一材料不同的材料,
第三外延源极/漏极区和第四外延源极/漏极区,每个所述第三外延源极/漏极区和所述第四外延源极/漏极区均位于所述第二材料中的相应的第二凹槽中,所述第二沟道区设置在所述第三外延源极/漏极区和所述第四外延源极/漏极区之间,和
第二栅极堆叠件,位于所述第二沟道区上。
2.根据权利要求1所述的鳍式场效应晶体管器件,其中,所述第一凹槽的深度大于所述第二凹槽的深度。
3.根据权利要求1所述的鳍式场效应晶体管器件,其中,位于所述第二鳍的顶面处的所述第二凹槽的宽度大于位于所述第一鳍的顶面处的所述第一凹槽的宽度。
4.根据权利要求1所述的鳍式场效应晶体管器件,其中,每个所述第一外延源极/漏极区和所述第二外延源极/漏极区均具有第一邻近距离,所述第一邻近距离介于相应的所述第一外延源极/漏极区和所述第二外延源极/漏极区至所述第一栅极堆叠件的最近表面与所述第一栅极堆叠件的相应的最近侧壁的平面之间,并且其中,每个所述第三外延源极/漏极区和所述第四外延源极/漏极区均具有第二邻近距离,所述第二邻近距离介于相应的所述第三外延源极/漏极区和所述第四外延源极/漏极区至所述第二栅极堆叠件的最近表面与所述第二栅极堆叠件的相应的最近侧壁的平面之间,所述第一邻近距离大于所述第二邻近距离。
5.根据权利要求1所述的鳍式场效应晶体管器件,其中,所述第一材料是硅锗,并且所述第二材料是硅。
6.根据权利要求1所述的鳍式场效应晶体管器件,其中,每个所述第一外延源极/漏极区和所述第二外延源极/漏极区均至少完全填充相应的所述第一凹槽,并且其中,每个所述第三外延源极/漏极区和所述第四外延源极/漏极区均至少完全填充相应的所述第二凹槽。
7.根据权利要求1所述的鳍式场效应晶体管器件,其中,所述第一p型晶体管位于所述衬底的核心逻辑区中,并且所述第二p型晶体管位于所述衬底的输入/输出区中。
8.根据权利要求1所述的鳍式场效应晶体管器件,还包括:
第一n型晶体管,包括:
第三沟道区,位于所述衬底上并且包括第三鳍的第三材料,所述第三材料是与所述第二材料相同的材料,鳍中的介电材料设置在所述第三材料和所述衬底之间,
第五外延源极/漏极区和第六外延源极/漏极区,每个所述第五外延源极/漏极区和所述第六外延源极/漏极区均位于所述第三材料中的相应的第三凹槽中,所述第三沟道区设置在所述第五外延源极/漏极区和所述第六外延源极/漏极区之间,和
第三栅极堆叠件,位于所述第三沟道区上;以及
第二n型晶体管,包括:
第四沟道区,位于所述衬底上并且包括第四鳍的第四材料,所述第四材料是与所述第二材料相同的材料,
第七外延源极/漏极区和第八外延源极/漏极区,每个所述第七外延源极/漏极区和所述第八外延源极/漏极区均位于所述第四材料中的相应的第四凹槽中,所述第四沟道区设置在所述第七外延源极/漏极区和所述第八外延源极/漏极区之间,和
第四栅极堆叠件,位于所述第四沟道区上。
9.一种形成鳍式场效应晶体管器件的方法,包括:
在衬底上形成第一鳍,所述第一鳍包括位于所述衬底上的第一晶体材料;
在所述衬底上形成第二鳍,所述第二鳍包括位于所述衬底上的第二晶体材料,所述第一晶体材料的材料与所述第二晶体材料的材料不同;
在所述第一鳍的所述第一晶体材料上形成第一结构以及在所述第二鳍的所述第二晶体材料上形成第二结构;
沿着所述第一结构的侧壁形成第一间隔件以及沿着所述第二结构的侧壁形成第二间隔件;
同时蚀刻所述第一晶体材料以形成位于所述第一鳍中并且邻近所述第一间隔件的第一凹槽和蚀刻所述第二晶体材料以形成位于第二鳍中并且邻近所述第二间隔件的第二凹槽,所述第一凹槽在所述第一间隔件下方横向延伸地比所述第二凹槽在所述第二间隔件下方横向延伸地更远;以及
在所述第一凹槽中外延生长第一外延源极/漏极区和在所述第二凹槽中外延生长第二源极/漏极区。
10.根据权利要求9所述的方法,其中,位于所述第一鳍的顶面处的所述第一凹槽的宽度小于位于所述第二鳍的顶面处的所述第二凹槽的宽度。
11.根据权利要求9所述的方法,其中,所述第一凹槽的深度大于所述第二凹槽的深度。
12.根据权利要求9所述的方法,其中,同时蚀刻包括以第一垂直蚀刻速率蚀刻所述第一晶体材料和以第二垂直蚀刻速率蚀刻所述第二晶体材料,所述第一垂直蚀刻速率大于所述第二垂直蚀刻速率。
13.根据权利要求9所述的方法,其中,同时蚀刻包括以第一横向蚀刻速率蚀刻所述第一晶体材料和以第二横向蚀刻速率蚀刻所述第二晶体材料,所述第一横向蚀刻速率大于所述第二横向蚀刻速率。
14.根据权利要求9所述的方法,其中,同时蚀刻包括各向同性蚀刻组分,所述各向同性蚀刻组分以比所述第二晶体材料更大的速率蚀刻所述第一晶体材料。
15.根据权利要求9所述的方法,其中,每个所述第一结构和所述第二结构均为伪栅极堆叠件。
16.根据权利要求9所述的方法,还包括:
去除所述第一结构和所述第二结构;以及
在去除所述第一结构的区域形成第一栅极堆叠件,和在去除所述第二结构的区域形成第二栅极堆叠件。
17.根据权利要求9所述的方法,还包括:
在所述衬底上形成第三鳍,所述第三鳍包括第三晶体材料和介电材料,所述介电材料位于所述衬底上,所述第三晶体材料位于所述介电材料上;
在所述衬底上形成第四鳍,所述第四鳍包括位于所述衬底上的第四晶体材料,所述第二晶体材料、所述第三晶体材料和所述第四晶体材料的相应材料是相同的材料;
在所述第三鳍的所述第三晶体材料上形成第三结构以及在所述第四鳍的所述第四晶体材料上形成第四结构;
沿着所述第三结构的侧壁形成第三间隔件以及沿着所述第四结构的侧壁形成第四间隔件;
同时蚀刻所述第三晶体材料以形成位于所述第三鳍中并且邻近所述第三间隔件的第三凹槽和蚀刻所述第四晶体材料以形成位于所述第四鳍中并且邻近所述第四间隔件的第四凹槽;以及
在所述第三凹槽中外延生长第三外延源极/漏极区和在所述第四凹槽中外延生长第四源极/漏极区。
18.根据权利要求17所述的方法,其中,所述第三凹槽在所述第三间隔件下方横向延伸的距离与所述第四凹槽在所述第四间隔件下方横向延伸的距离相同,位于所述第三鳍的顶面处的所述第三凹槽的宽度小于位于所述第四鳍的顶面处的所述第四凹槽的宽度,并且所述第三凹槽的深度小于所述第四凹槽的深度。
19.一种形成鳍式场效应晶体管器件的方法,包括:
在衬底的p型核心逻辑区中形成第一鳍,所述第一鳍包括SiGe沟道层;
在所述衬底的p型输入/输出(I/O)区中形成第二鳍,所述第二鳍包括第一硅沟道层;
在所述第一鳍上形成第一堆叠件和第二堆叠件,以及在所述第二鳍上形成第三堆叠件和第四堆叠件;
在所述第一堆叠件的侧壁上形成第一间隔件,在所述第二堆叠件的侧壁上形成第二间隔件,在所述第三堆叠件的侧壁上形成第三间隔件,以及在所述第四堆叠件的侧壁上形成第四间隔件,所述第一间隔件和所述第二间隔件的相对侧壁限定所述第一间隔件和所述第二间隔件之间的第一距离,所述第三间隔件和所述第四间隔件的相对侧壁限定所述第三间隔件和所述第四间隔件之间的第二距离,所述第一距离小于所述第二距离;
同时蚀刻所述第一间隔件和所述第二间隔件之间的所述SiGe沟道层以形成第一凹槽和蚀刻所述第三间隔件和所述第四间隔件之间的所述第一硅沟道层以形成第二凹槽,其中,所述SiGe沟道层以比所述第一硅沟道层更大的垂直蚀刻速率和更大的横向蚀刻速率被蚀刻,所述第一凹槽具有比所述第二凹槽大的深度,所述第一凹槽在所述第一间隔件下方横向延伸的距离比所述第二凹槽在所述第三间隔件下方横向延伸的距离更大;以及
在所述第一凹槽中外延生长第一外延源极/漏极区和在所述第二凹槽中外延生长第二源极/漏极区。
20.根据权利要求19所述的方法,还包括:
在所述衬底的n型核心逻辑区中形成第三鳍,所述第三鳍包括位于介电材料上的第二硅沟道层;
在所述衬底的n型输入/输出(I/O)区中形成第四鳍,所述第四鳍包括第三硅沟道层;
在所述第三鳍上形成第五堆叠件和第六堆叠件,以及在所述第四鳍上形成第七堆叠件和第八堆叠件;
在所述第五堆叠件的侧壁上形成第五间隔件,在所述第六堆叠件的侧壁上形成第六间隔件,在所述第七堆叠件的侧壁上形成第七间隔件,以及在所述第八堆叠件的侧壁上形成第八间隔件,所述第五间隔件和所述第六间隔件的相对侧壁限定所述第五间隔件和所述第六间隔件之间的第三距离,所述第七间隔件和所述第八间隔件的相对侧壁限定所述第七间隔件和所述第八间隔件之间的第四距离,所述第三距离小于所述第四距离;
同时蚀刻所述第五间隔件和所述第六间隔件之间的所述第二硅沟道层以形成第三凹槽和蚀刻所述第七间隔件和所述第八间隔件之间的所述第三硅沟道层以形成第四凹槽,其中,所述第三硅沟道层以比所述第二硅沟道层更大的垂直蚀刻速率被蚀刻,并且所述第三硅沟道层以与所述第二硅沟道层相同的横向蚀刻速率被蚀刻,所述第四凹槽具有比所述第三凹槽大的深度,所述第三凹槽和所述第四凹槽分别在所述第五间隔件和所述第七间隔件下方横向延伸的距离相同;以及
在所述第三凹槽中外延生长第三外延源极/漏极区和在所述第四凹槽中外延生长第四源极/漏极区。
CN201510955693.4A 2015-06-15 2015-12-17 FinFET器件和形成方法 Active CN106252350B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/739,895 2015-06-15
US14/739,895 US9449975B1 (en) 2015-06-15 2015-06-15 FinFET devices and methods of forming

Publications (2)

Publication Number Publication Date
CN106252350A CN106252350A (zh) 2016-12-21
CN106252350B true CN106252350B (zh) 2019-06-11

Family

ID=56896218

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510955693.4A Active CN106252350B (zh) 2015-06-15 2015-12-17 FinFET器件和形成方法

Country Status (4)

Country Link
US (5) US9449975B1 (zh)
KR (1) KR101735209B1 (zh)
CN (1) CN106252350B (zh)
TW (1) TWI563574B (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9647113B2 (en) * 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US20170250268A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9614087B1 (en) * 2016-05-17 2017-04-04 International Business Machines Corporation Strained vertical field-effect transistor (FET) and method of forming the same
US10269940B2 (en) * 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10170555B1 (en) * 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Intermetallic doping film with diffusion in source/drain
KR102385567B1 (ko) * 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10692769B2 (en) 2017-08-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin critical dimension loading optimization
KR101901711B1 (ko) 2017-09-27 2018-09-27 삼성전기 주식회사 팬-아웃 반도체 패키지
US10804367B2 (en) * 2017-09-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
KR102421763B1 (ko) 2017-11-08 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102403737B1 (ko) 2018-05-23 2022-05-31 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10515955B1 (en) 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier
US10269655B1 (en) * 2018-05-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10720530B2 (en) * 2018-09-27 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of forming same
US11094597B2 (en) * 2018-09-28 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US10680075B2 (en) 2018-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof
US10700183B2 (en) * 2018-10-19 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US11296077B2 (en) * 2018-11-19 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with recessed silicon cap and method forming same
DE102019111297B4 (de) 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US11362199B2 (en) * 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11462402B2 (en) * 2020-10-21 2022-10-04 Cornell University Suboxide molecular-beam epitaxy and related structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103035713A (zh) * 2011-10-04 2013-04-10 台湾积体电路制造股份有限公司 FinFET器件及其制造方法
CN103915486A (zh) * 2012-12-31 2014-07-09 台湾积体电路制造股份有限公司 高效率FinFET二极管

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8048723B2 (en) 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8776734B1 (en) 2008-05-19 2014-07-15 Innovative Environmental Solutions, Llc Remedial system: a pollution control device for utilizing and abating volatile organic compounds
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8207038B2 (en) * 2010-05-24 2012-06-26 International Business Machines Corporation Stressed Fin-FET devices with low contact resistance
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8609518B2 (en) 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8664060B2 (en) * 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US9105744B2 (en) 2012-03-01 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having inactive fin field effect transistor (FinFET) structures and manufacturing and design methods thereof
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
KR20140034347A (ko) 2012-08-31 2014-03-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9105741B2 (en) * 2012-09-13 2015-08-11 International Business Machines Corporation Method of replacement source/drain for 3D CMOS transistors
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US8497177B1 (en) 2012-10-04 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9214556B2 (en) * 2013-08-09 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dual-metal silicide and germanide formation
US9466669B2 (en) * 2014-05-05 2016-10-11 Samsung Electronics Co., Ltd. Multiple channel length finFETs with same physical gate length
US9461110B1 (en) * 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9773786B2 (en) * 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103035713A (zh) * 2011-10-04 2013-04-10 台湾积体电路制造股份有限公司 FinFET器件及其制造方法
CN103915486A (zh) * 2012-12-31 2014-07-09 台湾积体电路制造股份有限公司 高效率FinFET二极管

Also Published As

Publication number Publication date
US9887137B2 (en) 2018-02-06
KR20160147626A (ko) 2016-12-23
US9449975B1 (en) 2016-09-20
US10658247B2 (en) 2020-05-19
US20170005011A1 (en) 2017-01-05
US20180174918A1 (en) 2018-06-21
US20200279781A1 (en) 2020-09-03
US11362004B2 (en) 2022-06-14
TW201643966A (zh) 2016-12-16
US20190148244A1 (en) 2019-05-16
US10163726B2 (en) 2018-12-25
CN106252350A (zh) 2016-12-21
KR101735209B1 (ko) 2017-05-12
TWI563574B (en) 2016-12-21

Similar Documents

Publication Publication Date Title
CN106252350B (zh) FinFET器件和形成方法
US11532735B2 (en) Self-aligned epitaxy layer
US10163903B2 (en) FETS and methods of forming FETS
US20230215935A1 (en) Fets and methods of forming fets
US9704883B2 (en) FETS and methods of forming FETS
CN109427905A (zh) 制造半导体器件的方法以及半导体器件
CN106252386A (zh) FinFET结构及其形成方法
CN107424933A (zh) Finfet及形成finfet的方法
CN108807270A (zh) Finfet器件及其形成方法
KR20180069701A (ko) FinFET 구조체 및 이를 형성하는 방법
US11088251B2 (en) Source/drain contacts for semiconductor devices and methods of forming
CN106098554A (zh) 栅极上的缓冲层及其形成方法
US20230387228A1 (en) Contact plug structure of semiconductor device and method of forming same
US20220352158A1 (en) Stacked device structures and methods for forming the same
CN109599438A (zh) 半导体器件及其形成方法
CN106558553A (zh) Cmos制作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant