CN105826226A - Batch heating and cooling chamber or load locking device - Google Patents

Batch heating and cooling chamber or load locking device Download PDF

Info

Publication number
CN105826226A
CN105826226A CN201610039843.1A CN201610039843A CN105826226A CN 105826226 A CN105826226 A CN 105826226A CN 201610039843 A CN201610039843 A CN 201610039843A CN 105826226 A CN105826226 A CN 105826226A
Authority
CN
China
Prior art keywords
cold drawing
wafer
heater
wafer case
front side
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610039843.1A
Other languages
Chinese (zh)
Other versions
CN105826226B (en
Inventor
J·M·舒浩勒
R·B·沃派特
P·E·波尔甘德
B·B·莱尔顿
D·伯拉尼克
W·T·韦弗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105826226A publication Critical patent/CN105826226A/en
Application granted granted Critical
Publication of CN105826226B publication Critical patent/CN105826226B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67333Trays for chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

The invention discloses wafers and use methods that provide heating and cooling to a plurality of wafers to decrease time between wafer switching in a processing chamber. Wafers are supported on a lift device which can move all wafers together or on independent lift rods which can move individual wafers for heating and cooling.

Description

Batch heating and cooling chamber or load lock apparatus
Technical field
Embodiment of the disclosure the device relating generally to process substrate.More specifically, it relates to be used for heating and cooling down wafer to carry out the apparatus and method of batch processing.
Background technology
The technique forming semiconductor device generally performs in the processing substrate platform comprise multiple chamber.In some instances, the purposes of multi-cavity cell-type processing platform or cluster tool is: substrate sequentially performs in controlled environment two or more technique.But, in other instances, multi-cavity cell-type processing platform only can perform single process step to substrate;Additional chamber is intended to make the speed of platform processes substrate to maximize.In the case of the latter, the technique performing substrate is typically batch processing, wherein, processes the substrate of relatively large amount (such as, 25 or 50) in given chamber simultaneously.Batch processing is that it is particularly advantageous that such as it is particularly advantageous for depositing (CVD) technique for ALD technique and some chemical gaseous phase for that perform single substrate in economically feasible mode, the most time-consuming technique.
ALD platform has the application needing framework flexibly widely, and these application have various requirement and constraint.Platform Requirements includes that wafer preheats, cools down afterwards, preheats and cooling afterwards, the volume of production from 30wph (brilliant tablets h) to 270wph, fine vacuum load lock apparatus (loadlock), and other specifications many are for providing function to be probably challenge with low Cost of right.
ALD batch processing platform provides standard, the active load lock apparatus that can cool down wafer.High-temperature technology (> 450 DEG C) has benefited from the wafer before placing the wafer on processing chamber pedestal and preheats.At present, in processing chamber, room temperature wafer is preheated up to 3 minutes.Which costs the process time of preciousness, and system throughput is significantly reduced for shorter technique.
Current ALD processor has many methods to heat in load lock apparatus and to cool down single wafer.But, ALD batch processing platform can process has six or the batch of more wafer.Single wafer load-lock is circulated throughout slowly (36 seconds) and cannot meet the exchange budget of 15 seconds.Therefore, there is a need in the art for for preheating the wafer with rear cooling batch to carry out the apparatus and method of batch processing.
Summary of the invention
One or more embodiments of the disclosure relate to wafer case, and described wafer case includes the wall supporting multiple cold drawing.Described wall allows to access the front side of at least some cold drawing in described cold drawing.Multiple LED position against the dorsal part of described cold drawing, and have the front side spaced apart with the front side of adjacent cold drawing to form gap.Multiple LED are directed to the front side of adjacent cold drawing.Wafer lift device is positioned to support the periphery of wafer.
The additional embodiment of the disclosure relates to wafer case, and described wafer case includes the wall supporting multiple cold drawing.Described wall allows accessing of the front side at least some cold drawing in described cold drawing so that wafer can position adjacent to the front side of described cold drawing.The dorsal part of reflector at least some cold drawing in described cold drawing.By at least one thermal boundary, the dorsal part of reflector with described cold drawing is separated.Heater is adjacent to the dorsal part of described reflector.By at least one thermal boundary, the dorsal part of heater with reflector is separated so that between the dorsal part of heater with the front side of adjacent cold drawing, there is gap.Within multiple elevating levers are positioned at cold drawing.
The further embodiment of the disclosure relates to wafer case, and wafer case includes the wall supporting multiple cold drawing.Wall allows accessing of the front side at least some cold drawing in described cold drawing so that wafer can position adjacent to the front side of described cold drawing.Heater is positioned on the dorsal part of cold drawing so that the dorsal part of heater is spaced apart with the front side of adjacent cold drawing to form gap.Isolator is between heater and cold drawing.
Accompanying drawing explanation
Therefore, in order to the mode of the features described above structure of the disclosure can be understood in detail, it is referred to embodiment and carries out the more particular description to the disclosure above summarized, some in embodiment shown in the drawings.It should be noted, however, that accompanying drawing only illustrates the exemplary embodiments of the disclosure, and therefore it is not construed as the restriction of scope of this disclosure, because the disclosure can allow the embodiment of other equivalences.
Fig. 1 is the cross-sectional view of the wafer case of the one or more embodiments according to the disclosure;
Fig. 2 is the cross-sectional view of the wafer case of the one or more embodiments according to the disclosure;
Fig. 3 is the cross-sectional view of the wafer case of the one or more embodiments according to the disclosure;
Fig. 4 is the cross-sectional view of the wafer case of the one or more embodiments according to the disclosure;
Fig. 5 is the cross-sectional view of the wafer case of the one or more embodiments according to the disclosure;
Fig. 6 is the axonometric chart of the wafer case of the one or more embodiments according to the disclosure;And
Fig. 7 illustrate according to one or more embodiments of the invention, for heating and cool down the schematic diagram of system of wafer case.
Detailed description of the invention
Embodiment of the disclosure the apparatus and method relating to preheat and/or cool down afterwards the wafer of batch.As used herein and in the appended claims, term " wafer ", " substrate " etc. it are interchangeably used.In certain embodiments, wafer is rigidity, discrete substrate, such as, 200mm or 300mm silicon wafer.
The device provided for preheating the wafer with rear cooling batch is provided.Although the most embodiments in described embodiment relate to the load lock apparatus of every batch of six wafers, it will be appreciated by those skilled in the art that the number of wafers of a collection of middle carrying can be more than or less than six.Embodiment of the disclosure to provide and can preheat during load lock apparatus empties and the device of rear cooling during load lock apparatus drains into atmospheric pressure.This allows parallel process and the limited impact of the volume of production on system.Embodiment the most easily can be reequiped for the existing system in this area.These configurations are discussed in the context that the load-lock for ALD designs, but these configurations are applicable to the heating of any batch and/or cooling application.Due to stacking, temperature ramp rate, maximum temperature and the component costs of heating element heater, batch heating remains challenge.
An aspect of this disclosure utilizes the LED heating with the cold pedestal of dual-use function.LED heating technique is that the ultrathin type lamp that can easily be stacked into box configuration adds heat-seal.LED heating technique is efficient, can immediately close, and has few thermal mass, so that LED compares traditional resistive heater and can relatively quickly cool down.
LED heater can benefit from active cooling, the 40% of the removable energy being converted into heat by LED of described active cooling.In certain embodiments, LED and circuit are the assemblies at the back side being directly assembled to metal basal board, and described metal basal board has for playing heat exchange action to remove the passage of the cooling water of the heat of excess.The opposite side of heat exchanger is water cooling surface when lamp is closed, and comprises tradition cooling board mount formula (standoff) protrusion pattern and feature.
Fig. 1 illustrates the first aspect of the disclosure incorporating LED and cooling.Embodiment of the disclosure and can be merged in wafer case, or be retrofitted in load lock apparatus.Fig. 1 illustrates the box 100 with four wafers 110.This only represents a kind of possible configuration, and is not construed as limiting the scope of the present disclosure.Some embodiments can support two, three, four, five, six, seven, eight, nine or more wafer.
Box 100 has at least one wall 105.In the embodiment in figure 1, box 100 has two walls 105.Some embodiments have more than two wall.Wall 105 supports multiple cold drawing 130, and each cold drawing 130 has front side 132 and dorsal part 131.Cold drawing 130 may be connected directly to wall 105 (as shown in fig. 1), or can be positioned on keeper.Wall 105 allows the front side of cold drawing 130 accesses (access).As used in this respect, it is allowed to access and mean that there is enough spaces positions adjacent to the front side 132 of cold drawing 130 for wafer.
Multiple LED 120 are located so that the dorsal part 121 of LED 120 contacts with the dorsal part 131 of cold drawing 130.The front side 122 of LED 120 is the most spaced apart with the front side 132 of adjacent cold drawing 130, to form gap 125 between.As used in this respect, term " adjacent cold drawing " mean the cold drawing except contacting with LED in addition to cold drawing.Multiple LED 120 are positioned to direct the light to the front side 132 of adjacent cold drawing 130 so that if wafer 110 had previously been positioned in gap 125, then light will be guided to wafer 110.
The cold drawing 130 of some embodiments has at least one fluid passage of the main body 133 extending through cold drawing 130.In certain embodiments, wall includes supply manifold and/or the return manifolds fluidly connected with the passage in cold drawing.As by described in more detail, this allows fluid flows through passageway to be cooled to cold drawing 130.Supply manifold and/or return manifolds can be the parts of the one of wall 105, or can be connected to wall.Cold drawing 130 is also known as pedestal.
LED 120 and cold drawing 130 have the combination thickness less than 1 inch, and may be stacked in batch type load lock apparatus.Some embodiments have the combination thickness of less than about 0.5 inch.Compared with height typically typical lamp module in the range of 4 inches to 8 inches, LED occupies less space.The efficiency of heating surface of LED is higher than the efficiency of heating surface of the heating module of standard, and the wavelength of LED light can be chosen so that the efficiency of heating surface maximizes.LED can be transmitted in the light of any wavelength that can be absorbed by wafer.It is for instance possible to use the LED of the light being transmitted in UV, visible or NIR wave-length coverage.In certain embodiments, LED emission is at about 450nm or the light in the range of about 400nm to about 500nm or in the range of about 300nm to about 600nm.In certain embodiments, LED emission has the wavelength of about 1000nm or the near infrared light of the wavelength in the range of about 900nm to about 1100nm.One or more embodiments use the biobelt heating with NIR and visible wavelength.Such as, the half in LED 120 can launch the light at 450nm, and half launches the light at 1000nm.During heating technique, the absorption curve varied with temperature based on substrate, NIRLED can activate on the time different from visible LED.
The LED 120 of some embodiments is made up of the array of single LED.Depending on the size of wafer to be heated, array can be any suitably sized.In certain embodiments, LED includes having in the range of about 200 to about 1500 LED or in the range of about 400 to about 1300 LED or in the range of about 600 to about 1100 LED or the array of about 900 LED.In certain embodiments, the array of LED can be controlled by district so that the different piece of LED array can have different power output.
In use, wafer 110 is loaded in box 100, and is increased to above cold drawing 130 by elevating lever 140.It is said that in general, elevating lever 140 has the length of the distance of at least the 50% of the gap, front side 132 125 that be enough to move apart wafer 110 cold drawing 130.For purposes of illustration, Fig. 1 only illustrates the elevating lever 140 supporting bottom wafer.It will be understood by those skilled in the art, however, that elevating lever 140 can support any or all in wafer 110.Can simultaneously or separately moving lifting bar 140 so that all of wafer 110 moves simultaneously, or allows individually to control the movement of wafer 110.
Wafer 110 is positioned to as far as possible near LED 120.It is said that in general, during heating, at least 50% location, the place wafer 110 away from gap 125 between cold drawing 130 and LED 120 described in cold drawing 130.Such as, if LED 120 and cold drawing 130 are located so that gap 125 is about 20mm, the most during heating, wafer 110 will be elevated above cold drawing 130 at least 10mm.Gap 125 between cold drawing 130 and LED 120 is up to about 50mm.In certain embodiments, gap 125 is in the range of about 1mm to about 20mm or in the range of about 2mm to about 15mm.In certain embodiments, wafer 110 is moved into the distance being less than about 5mm, 4mm, 3mm, 2mm or 1mm away from heater.
During the pumping circulation of load lock chamber, wafer 110 can be kept to leave cold drawing 130, and wafer 110 is heated by LED 120, wait vacuum transfer simultaneously.When exchanging wafer 110, close LED 120, and by heat exchanger 160, heat is removed from pedestal.In one embodiment, heat exchanger 160 makes water (or other fluids) flow through the passage in the wall 105 of box 100, and flows through the passage 525 in the cold drawing 130 (or pedestal 510) shown in Fig. 6.Contact cooling LED 120 between dorsal part 121 and the dorsal part 131 of cold drawing of LED 120 and wafer 110.
After the treatment, wafer 110 is back loaded in box 100.Wafer 110 is placed on cold drawing 130, and cools down during discharge technology.Have exchanged wafer 110 upon factor interface, then LED 120 can start again at and add thermal bimorph 110.
In certain embodiments, the diameter of each cold drawing 130 both greater than substrate.Such as, the box 100 for 300mm wafer can have the cold drawing 130 of diameter about 320mm.In certain embodiments, cold drawing 130 is square, and has the length and width of about 320mm.
Embodiment shown in Fig. 1 provides parallel pretreatment and the post processing of the original volume of production not affecting system.It addition, the thermal shock when heating and cooling wafer can be reduced.LED 120 can raise the temperature of wafer 110, and need not they be directly placed on hot plate, and when removing heat extraction from system, utilizes cold drawing 130, and wafer will cool down.
Fig. 2 to Fig. 4 illustrates other aspects incorporating resistance-type heating and the disclosure of pedestal cooling utilizing thermal boundary (thermalbreak).Here, thick-film resistor heating pedestal and cold pedestal stack with the thermal boundary 240 between the two pedestal.Thermal boundary 240 can be the hood-like reflector of solar heat protection 250 (shown in Fig. 2) such as with vacuum gap 235, the vacuum area 335 (shown in Fig. 3) being drained or low thermal conductivity material 440 (shown in Fig. 4).Thermal boundary reduces the amount of the heater energy being radiated to cooling base in load lock apparatus.
Each embodiment in embodiment shown in Fig. 2 to Fig. 4 can operate in a manner analogous.Main Differences between Fig. 1 and Fig. 2 to Fig. 4 is heater.LED 120 in Fig. 1 is substituted by resistance type heater 220,320,420 in Fig. 2 to Fig. 4 respectively.
With reference to Fig. 2, thermal boundary 240 provides heater 220, reflector 250 to separate between cold drawing 230.Reflector 250 is positioned adjacent to cold drawing 230, and spaced apart with cold drawing 230 by least one thermal boundary 240.Heater 220 is positioned adjacent to reflector 250, and spaced apart with reflector 250 by least one thermal boundary 240.During emptying, thermal boundary 240 allows to empty heater 220, gas between reflector 250 and cold drawing 230 from box 200, thus reduces the pressure between parts.Reducing the heat transfer carried out by convection current at low pressures, therefore, heater 220 has minor impact to cold drawing 230.It addition, reflector 250 provides another to hinder between heater 220 and cold drawing 230.Depend on the size such as wanting separate parts, any appropriate number of thermal boundary 240 can be there is.
Fig. 3 illustrates another embodiments and methods cold drawing 330 and the heater 320 of stacking completely cut off.Here, the vacuum area 335 with partial vacuum is formed between cold drawing 330 and heater 320, and there is enough gaps to reduce the heat transfer between two plates." partial vacuum " used in this respect represents the region of the pressure of the reduction serving as the obstruction for convection current.This will allow wafer heating and be cooled under higher pressure occurring, and at a higher pressure, convection current has the large effect to technique.
In figure 3, heater 320 is shown in cold drawing 330 and contacts at edge 350.For making the effect of convection current minimize, isolator can be used to connect heater 320 and cold drawing 330.Such as, lower thermal conductivity binding agent or other low thermal conductivity materials can be placed between cold drawing 330 and heater 320 with the heat transfer between prevention unit.This may be additionally referred to as hot pad (thermalgasket).In embodiment shown in figures 4 and 5, low thermal conductivity material 440 is used as the isolator between heater 420 and cold drawing 430.
Embodiment shown in Fig. 2 to Fig. 5 can be retrofitted in existing load lock chamber, or can be single box.In use, wafer lift pins 260,360,460 wafer 210,310,410 is moved to firmly against close over heater 220,320,420.Preheating wafer 210,310,410 is completed in the case of the front side not making heater 220,320,420 contact wafer 210,310,410.Existing wafer 210,310,410 was placed on cold drawing 230,330,430 before leaving system.Similar with 1 embodiment of figure, the embodiment of Fig. 2 to Fig. 5 provides the heating parallel with other technique and cooling so that yield will not be significantly affected by adding and preheat and the adverse effect of rear cooling technique.
Embodiment shown in Fig. 2 to Fig. 4 has the most controlled elevating lever 260,360,460, and these the most controlled elevating levers can promote or reduce any single or groups of wafer in box.For promoting and reducing the elevating lever of bar and control the space that element occupies in box, and some embodiments do not use elevating lever.In certain embodiments, as shown in Figure 5, wafer lift device 470 is used once to move all of wafer.Single wafer lift device 470 is used to can take up the space more less than using multiple single elevating levers.Embodiment shown in Fig. 5 is identical at all of aspect with the embodiment of Fig. 4, and exception is only that elevating mechanism.Allowing multiple independent elevating lever in the case of lifting single wafer at Fig. 4, Fig. 5 uses the single wafer lift device that all of wafer can be made to move together.Single wafer lift device can make all of wafer move to the heating location (as shown in the figure) raised from the cooling position reduced easily.
Wafer lift device is positioned to support the periphery 472 of wafer 410.Wafer lift device 470 can be made up of any suitable material that can contact wafer safely.Wafer can be moved to the position closer to heater 420 by wafer lift device 470 from the position of neighbouring cold drawing 430.Multiple wafers can be moved apart the distance of the 50% of at least gap, front side of cold drawing by wafer lift device simultaneously.
Another aspect of the present disclosure relates to double-type (dual) high temperature/cryogen pedestal box.Current cooling base is designed, in order to when cooling down substrate from technological temperature, current cooling base and cryogen exchanged heat with aquaporin.It has been found by the present inventors that in the case of fluid is heated rapidly or replaces with hot-fluid simply, the thermal mass of pedestal allows quick heat.
Fig. 6 illustrates the embodiment of the pedestal box 500 of the one or more embodiments according to the disclosure.For illustrative purposes, the box 500 shown in Fig. 6 does not have heater.It will be understood to those of skill in the art that box 500 can have any one of heater shown in various embodiment.Box 500 is shown as having three pedestals 510, and these pedestals 510 can support one or more wafer (not shown).Pedestal 510 is connected to supply manifold 520 and return manifolds 530, and fluidly connects with both.Fluid passage 525 extends through each pedestal in pedestal 510, and is formed between supply manifold 520 and return manifolds 530 and fluidly connect.For illustrative purposes, the top base 510 in Fig. 6 is shown as being cut half to illustrate fluid passage 525.It is said that in general, fluid passage 525 is closed in the main body of pedestal 510.Pedestal 510 in Fig. 6 each has multiple groove 540, these grooves 540 can by such as robot use with by wafer orientation on pedestal.
The size of each pedestal 510 may be set to support any substrate.In certain embodiments, each pedestal 510 be dimensioned so as to support 300mm wafer.The scope of the quantity of pedestal 510 can be to any quantity that will mate in space from 1.Such as, box 500 can be positioned in load lock apparatus.Size based on pedestal-pedestal spacing and parts is limited the maximum quantity of pedestal 510 by the size of load lock apparatus.In certain embodiments, there is the pedestal 510 of the multiple that quantity is 6, such as, 12,18 or 24 pedestals.
In use, fluid source (not shown) is connected to supply manifold 520 and return manifolds 530.Fluid source can be any suitable fluid source, including but not limited to, cold water reservoir (reservoir) or recirculator, hot water tank or recirculator, heated and/or cooled cylinder or offer have the source of the fluid of the thermal capacitance being different from water.The fluid carrying out fluid source flows into supply manifold 520, at supply manifold 520, flows and is divided in the mulitpath to manifold.For each pedestal 510, there is at least one path.In embodiment shown in figure 6, each pedestal has six single fluid passages 525.But, this is only a kind of possible configuration, and is not construed as limiting the scope of the present disclosure.In certain embodiments, each pedestal include independently at least one, two, three, four, five, six, seven, eight, nine, 10,11,12,13,14,15,16 or more fluid passage 525.
The length of any fluid passage 525 depends on followed path.Such as, the straight passage being connected with return manifolds 530 by supply manifold 520 will have the length more shorter than the fluid passage of the peripheral bending around pedestal 510.Embodiment shown in Fig. 6 has six fluid passages 525, and wherein, three groups of passages have about the same length.Two passage 525a have about the same length, and two passage 525b have about the same length, and two passage 525c have about the same length.Each in fluid passage 525 can have and any one the different length in other passages.
The diameter that can change fluid passage 525 such as passes through the flow velocity of described passage, or the flow velocity that balance is by multiple passages with impact.In certain embodiments, in pedestal 510, all of fluid passage 525 all has identical diameter.In one or more embodiments, each in fluid passage 525 has independent of any one diameter in other fluid passages 525 in pedestal 510.In certain embodiments, the diameter of fluid passage 525 increases along with the increase of the length of fluid passage 525.In figure 6, such as, the diameter of passage 525a can be more than the diameter of passage 525b, and the diameter of passage 525b can be more than passage 525c.
Flow towards return manifolds 530 in the fluid passage 525 that fluid from supply manifold 520 flows through in pedestal 510.At return manifolds 530, the fluid from multiple single passages 525 and pedestal 510 is combined and flows to such as waste line or recirculating system.
Current heat exchanger system has the big thermal mass fluid kept within specified temperatures, and described fluid can be used for cooling down rapidly and the aluminium base 510 in heating load locking device.The thermal mass of the fluid in each system is about order of magnitude greater than the thermal mass of pedestal.
Fig. 7 illustrates the heat exchanger system 600 of the one or more embodiments according to the disclosure.Two process chamber 680 and are connected to transfer station 682 of central authorities, and transfer station 682 of described central authorities has at least one robot 684 wherein.Though it is shown that two, but at least one load lock apparatus 686 is positioned at the front end of transfer station 682, and it is used as factor interface (FI) to allow to move to inside processing system wafer outside processing system.Box 500 is shown in each in load lock apparatus 686.Box 500 can substitute by any one in described box embodiment, or can be the part of the one of load lock apparatus.As the part of the one of load lock apparatus, box wall is by identical for the wall with load lock apparatus.
Suction line 630 extends to load lock apparatus 686 from heating system 640 and cooling system 660.Suction line 630 fluidly connects with the supply manifold 520 of each box 500.Suction line 630 also fluidly connects with suction line heater connection 631 and suction line cooler connector 632.Suction line heater connection 631 and suction line cooler connector 632 are any suitable connection members allowing to separate.Such as, suction line heater connection 630 and/or suction line cooler connector 632 can be the valves that can close to isolate suction line 630.Outlet line 635 fluidly connects with the return manifolds 530 on each box 500.Outlet line 635 also fluidly connects with outlet line heater connection 636 and outlet line cooler connector 637.As suction line connector, outlet line heater connection 636 and outlet line cooler connector 637 can be any suitable connection members allowing to separate.Such as, outlet line heater connection 636 and/or outlet line cooler connector 637 can be the valves that can close to isolate outlet line 635.
During factor interface (FI) transmits, heating system 640 uses high temperature fluid that pedestal 510 is heated to predetermined temperature (such as, up to 300 DEG C).During emptying to pressure of foundation and shift pressure, utilization is flow through the hot fluid of system, pedestal 510 adds thermal bimorph.During vacuum shifts, pedestal 510 will stay in that heat, till to the last a wafer is transferred into processing chamber or is removed from load lock apparatus 686.
Adding hot fluid to provide, heating system 640 is connected to the inlet manifold 520 on each box in box 500.The stream adding hot fluid leaves heating system 640, and flow to inlet manifold 520 through the valve 641 opened.Through pedestal 510 and after entering outlet manifold 530, fluid by the valve 642 opened toward being back to heating system 640.During this circulates, bypass valve 643 is that Guan Bi is in case fluid flow crosses bypass loop 644.
In order to prevent mixing, can isolation cooling system 660.Close valve closing 661 and valve 662 to stop flowing out and flowing into cooling system 660.Cooler bypass loop 664 fluidly connects with suction line cooler connector 632 and outlet line cooler connector 637.Cooler bypass loop 664 can include that cooler bypass valve 663, described cooler bypass valve 663 can be opened so that the fluid in cooling system 660 can continue to be circulated by bypass loop 664 think that cooling down operation is got ready.
Similarly, in order to prevent mixing, heating system 640 can be isolated.Valve is provided with heater by-pass loop, described heater by-pass loop fluidly connects with suction line heater connection and outlet line heater connection, described heater by-pass loop includes bypass valve, in order to allow fluid to flow between suction line and outlet line.
From pedestal 510, the most remove all of wafer, so that it may access (engage) cooling system 660.In order to access cooling system 660 and prevent from mixing with heating system 640, heating system can be isolated.Heater by-pass loop 644 fluidly connects with suction line heater connection 631 and outlet line heater connection 636.Heater by-pass loop 644 also can have heater by-pass valve 643 to allow fluid to circulate in heater pipeline.In order to isolate heating system 640, valve 641 and valve 642 are Guan Bis, and bypass valve 643 is being circulated by bypass loop 644 allowing to add hot fluid of opening.Bypass valve 663 on cooling system 660 can be Guan Bi to prevent from flowing further through bypass loop 664.Valve 661 and valve 662 be open to allow fluid to flow to inlet manifold 520 from cooling system 660, and flow through the pedestal 510 in box 500.In this moment, thermofluid system is diverted from pedestal 510, and hot fluid will be released pedestal 510 by the fluid in cooling system 660, thus promptly makes the pedestal 510 with wafer be cooled to predetermined temperature.
Once meet chilling temperature, then can promote wafer to depart from cold drawing.Once complete the cooling to wafer, then cooling system 660 will be diverted from box 500, and will change valve so that heating system 640 can heat pedestal 510 again.
Configuration shown in Fig. 7 provides the yield of the initial designs not affecting system, parallel pretreatment and post processing.Thermal shock when heating and cooling wafer will reduce, because utilizing basis base material heat and cool down wafer.Embodiment of the disclosure and allow minimum load-lock volume design, the load-lock volume design of described minimum is compared other concepts and will be improved volume of production.The configuration of Fig. 7 illustrates the exemplary heating/cooling cycle system of the one or more embodiments according to the disclosure.Heating/cooling cycle system can be used together with any one in described wafer case.
Although foregoing is for embodiment of the disclosure, but other and the further embodiment elemental range without departing from the disclosure of the disclosure can be designed, and the scope of the present disclosure resides in the claims hereinafter appended.

Claims (20)

1. a wafer case, described wafer case includes:
Wall, described wall supports multiple cold drawings, and described wall allows to access the front side of at least some cold drawing in described cold drawing;
Multiple LED, the plurality of LED positions against the dorsal part of described cold drawing, and has the front side spaced apart with the front side of adjacent cold drawing to form gap, and the plurality of LED is guided to the front side of described adjacent cold drawing;And
Wafer lift device, described wafer lift device is positioned to support the periphery of wafer.
Wafer case the most according to claim 1, it is characterised in that each cold drawing in the plurality of cold drawing includes at least one fluid passage, at least one fluid passage described is through the main body of described cold drawing.
Wafer case the most according to claim 2, it is characterised in that at least one wall described includes supply manifold, connects described supply manifold and the described fluid channel fluid in the described main body of described cold drawing.
Wafer case the most according to claim 3, it is characterised in that at least one wall described farther includes return manifolds, connects described return manifolds and the described fluid channel fluid in the described main body of described cold drawing.
Wafer case the most according to claim 1, it is characterised in that the described gap between the front side of described LED and the front side of described adjacent cold drawing is in the range of about 2mm to about 15mm.
Wafer case the most according to claim 1, it is characterised in that described LED launches the light being in about 450nm wavelength.
Wafer case the most according to claim 1, it is characterised in that multiple wafers are moved apart the distance of at least the 50% of the described gap, front side of described cold drawing by described wafer lift device.
8. a system, described system includes:
At least one load lock chamber, at least one load lock chamber described accommodates wafer case according to claim 4;
Suction line, described suction line fluidly connects with supply manifold, suction line heater connection and suction line cooler connector;
Outlet line, described outlet line fluidly connects with return manifolds, outlet line heater connection and outlet line cooler connector;
Heater by-pass loop, described heater by-pass loop fluidly connects with described suction line heater connection and described outlet line heater connection, and described heater by-pass loop includes that bypass valve is to allow fluid to flow between described suction line and described outlet line;And
Cooler bypass loop, described cooler bypass loop fluidly connects with described suction line cooler connector and described outlet line cooler connector, and described cooler bypass loop includes that cooler bypass valve is to allow fluid to flow between described suction line and described outlet line.
9. a wafer case, described wafer case includes:
Wall, described wall supports multiple cold drawings, and described wall allows to access the front side of at least some cold drawing in described cold drawing so that wafer can position adjacent to the front side of described cold drawing;
Reflector, the dorsal part of described reflector at least some cold drawing in described cold drawing, is separated the dorsal part of described reflector with described cold drawing by least one thermal boundary;
Heater, the dorsal part of described heater with described reflector, adjacent to the dorsal part of described reflector, is separated by described heater by least one thermal boundary so that have gap between the dorsal part of described heater with the front side of adjacent cold drawing;And
Multiple elevating levers, the plurality of elevating lever is positioned in described cold drawing.
Wafer case the most according to claim 9, it is characterised in that each cold drawing in the plurality of cold drawing includes at least one fluid passage, at least one fluid passage described is through the main body of described cold drawing.
11. wafer case according to claim 10, it is characterised in that at least one wall described includes supply manifold, connect described supply manifold and the described fluid channel fluid in the described main body of described cold drawing.
12. wafer case according to claim 11, it is characterised in that at least one wall described farther includes return manifolds, connect described return manifolds and the described fluid channel fluid in the described main body of described cold drawing.
13. wafer case according to claim 9, it is characterised in that the described gap between front side and the dorsal part of described heater of described cold drawing is in the range of about 2mm to about 50mm.
14. wafer case according to claim 9, it is characterised in that described heater is resistance type heater.
15. wafer case according to claim 9, it is characterised in that described elevating lever has the length of the distance of be enough to make described gap, front side that wafer moves apart described cold drawing at least 50%.
16. 1 kinds of wafer case, described wafer case includes:
Wall, described wall supports multiple cold drawings, and described wall allows to access the front side of at least some cold drawing in described cold drawing so that wafer can position adjacent to the front side of described cold drawing;
Heater, described heater is positioned on the dorsal part of described cold drawing so that the dorsal part of described heater is spaced apart with the front side of adjacent cold drawing to form gap;And
Isolator, described isolator is between described heater and described cold drawing.
17. wafer case according to claim 16, it is characterised in that described isolator includes partial vacuum, and the edge of cold drawing described in the EDGE CONTACT of described heater.
18. wafer case according to claim 16, it is characterised in that described isolator includes that low thermal conductivity material, described low thermal conductivity material are positioned between described heater and described cold drawing so that described heater is not touched with described cold drawing.
19. wafer case according to claim 16, it is characterised in that at least one wall described includes supply manifold, connect described supply manifold and at least one fluid channel fluid in the main body of described cold drawing.
20. wafer case according to claim 19, it is characterised in that at least one wall described farther includes return manifolds, connect described return manifolds and the described fluid channel fluid in the described main body of described cold drawing.
CN201610039843.1A 2015-01-22 2016-01-21 Batch heating and cooling chamber or load lock Active CN105826226B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562106568P 2015-01-22 2015-01-22
US62/106,568 2015-01-22

Publications (2)

Publication Number Publication Date
CN105826226A true CN105826226A (en) 2016-08-03
CN105826226B CN105826226B (en) 2020-08-21

Family

ID=56432793

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610039843.1A Active CN105826226B (en) 2015-01-22 2016-01-21 Batch heating and cooling chamber or load lock

Country Status (5)

Country Link
US (2) US10283379B2 (en)
JP (1) JP2016154222A (en)
KR (1) KR102444827B1 (en)
CN (1) CN105826226B (en)
TW (1) TW201639063A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108615692A (en) * 2016-12-12 2018-10-02 北京北方华创微电子装备有限公司 Film magazine, reaction chamber and semiconductor equipment
CN108955080A (en) * 2018-06-26 2018-12-07 武汉华星光电半导体显示技术有限公司 A kind of cooling equipment
CN110197807A (en) * 2018-02-24 2019-09-03 旺宏电子股份有限公司 Chip feeder
CN110323118A (en) * 2018-03-29 2019-10-11 Asm Ip控股有限公司 Substrate rack and base plate processing system and method
CN110970344A (en) * 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
TWI797509B (en) * 2019-12-03 2023-04-01 大陸商拓荊科技股份有限公司 Heating apparatus for chemicals used in semiconductor manufacture

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TW201639063A (en) * 2015-01-22 2016-11-01 應用材料股份有限公司 Batch heating and cooling chamber or loadlock
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6270952B1 (en) * 2016-09-28 2018-01-31 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium.
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (en) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6947914B2 (en) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Annealing chamber under high pressure and high temperature
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
JP7274461B2 (en) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド Apparatus and method for manufacturing semiconductor structures using protective barrier layers
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI768849B (en) 2017-10-27 2022-06-21 美商應用材料股份有限公司 Single wafer processing environments with spatial separation
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (en) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Capacitor system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10858738B2 (en) * 2018-03-29 2020-12-08 Asm International N.V. Wafer boat cooldown device
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10910243B2 (en) * 2018-08-31 2021-02-02 Applied Materials, Inc. Thermal management system
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
CN113451183B (en) * 2020-06-03 2023-03-31 重庆康佳光电技术研究院有限公司 Wafer box
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674786A (en) * 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US20020017377A1 (en) * 2000-08-11 2002-02-14 Anelva Corporation Heating and cooling apparatus, and vacuum processing apparatus equipped with this apparatus
US20080226272A1 (en) * 2005-11-14 2008-09-18 Tokyo Electron Limited Heating apparatus, heat treatment apparatus, computer program and storage medium
CN202003971U (en) * 2011-03-23 2011-10-05 中芯国际集成电路制造(上海)有限公司 Wafer conveying device
CN103201828A (en) * 2010-11-05 2013-07-10 夏普株式会社 Oxidation/annealing treatment apparatus and process for production of thin film transistor employing oxidation/annealing treatment

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58158914A (en) * 1982-03-16 1983-09-21 Semiconductor Res Found Semiconductor manufacturing device
JPS59169125A (en) * 1983-03-16 1984-09-25 Ushio Inc Method for heating semiconductor wafer
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5221829A (en) * 1990-10-15 1993-06-22 Shimon Yahav Domestic cooking apparatus
KR100245260B1 (en) * 1996-02-16 2000-02-15 엔도 마코토 Wafer heating apparatus of semiconductor manufacture device
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6165273A (en) * 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6292250B1 (en) * 1998-08-10 2001-09-18 Tokyo Electron Limited Substrate process apparatus
KR100348939B1 (en) * 1999-12-04 2002-08-14 한국디엔에스 주식회사 Semiconductor manufacturing apparatus for photolithography process
US6191399B1 (en) * 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
SG105487A1 (en) * 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
US6554905B1 (en) * 2000-04-17 2003-04-29 Asm America, Inc. Rotating semiconductor processing apparatus
US6567257B2 (en) * 2000-04-19 2003-05-20 Applied Materials, Inc. Method and apparatus for conditioning an electrostatic chuck
US6562141B2 (en) 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6235656B1 (en) 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
CN1440564A (en) 2000-07-07 2003-09-03 应用材料有限公司 Loadlock chamber
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
JP3954498B2 (en) * 2001-02-20 2007-08-08 東京エレクトロン株式会社 Waste heat utilization system, waste heat utilization method, and semiconductor manufacturing equipment
JP3825277B2 (en) * 2001-05-25 2006-09-27 東京エレクトロン株式会社 Heat treatment device
US6529686B2 (en) * 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
US20040096300A1 (en) 2001-06-30 2004-05-20 Ilya Perlov Loadlock chamber
US7604306B1 (en) * 2001-08-23 2009-10-20 Taiwan Semiconductor Manufacturing Company Reticle box transport cart
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US20030194299A1 (en) 2002-04-15 2003-10-16 Yoo Woo Sik Processing system for semiconductor wafers
JP2004031557A (en) * 2002-06-25 2004-01-29 Ushio Inc Optical heating device
US6783630B2 (en) * 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
JP4442171B2 (en) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 Heat treatment equipment
US20060045668A1 (en) 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
US7283734B2 (en) * 2004-08-24 2007-10-16 Fujitsu Limited Rapid thermal processing apparatus and method of manufacture of semiconductor device
US20060127067A1 (en) * 2004-12-13 2006-06-15 General Electric Company Fast heating and cooling wafer handling assembly and method of manufacturing thereof
US20060182530A1 (en) 2005-01-05 2006-08-17 Min-Hsu Wang Wafer loadlock chamber and wafer holder
JP2007043042A (en) * 2005-07-07 2007-02-15 Sumitomo Electric Ind Ltd Wafer holder and manufacturing method thereof, wafer prober mounting same, and semiconductor heating device
JP5055756B2 (en) * 2005-09-21 2012-10-24 東京エレクトロン株式会社 Heat treatment apparatus and storage medium
US8025097B2 (en) * 2006-05-18 2011-09-27 Centipede Systems, Inc. Method and apparatus for setting and controlling temperature
US8920097B2 (en) 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
US8905124B2 (en) 2007-06-27 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Temperature controlled loadlock chamber
US20090016853A1 (en) 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US20090212014A1 (en) * 2008-02-27 2009-08-27 Tokyo Electron Limited Method and system for performing multiple treatments in a dual-chamber batch processing system
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
WO2010077132A1 (en) * 2008-12-31 2010-07-08 Draka Comteq B.V. Uvled apparatus for curing glass-fiber coatings
KR20120089647A (en) * 2009-08-11 2012-08-13 어플라이드 머티어리얼스, 인코포레이티드 Process kit for rf physical vapor deposition
US20110259840A1 (en) * 2010-04-23 2011-10-27 Advanced Semiconductor Engineering, Inc. Semiconductor package magazine
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
JP5638405B2 (en) * 2010-10-08 2014-12-10 パナソニック株式会社 Substrate plasma processing method
JP5559656B2 (en) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 Heat treatment apparatus and heat treatment method
JP5875759B2 (en) * 2010-10-14 2016-03-02 株式会社Screenセミコンダクターソリューションズ Heat treatment method and heat treatment apparatus
JP2012113951A (en) * 2010-11-24 2012-06-14 Canon Inc Display device and video information processing apparatus using the same
US8801950B2 (en) * 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
KR101713799B1 (en) 2011-04-15 2017-03-09 주식회사 원익아이피에스 Apparatus and method manufacturing for semiconductor
US9793144B2 (en) * 2011-08-30 2017-10-17 Evatec Ag Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer
CN104024472A (en) * 2011-10-28 2014-09-03 佳能安内华股份有限公司 Vacuum processing apparatus
CN103123906A (en) * 2011-11-18 2013-05-29 中芯国际集成电路制造(北京)有限公司 Reaction device for processing wafer, electrostatic chuck and wafer temperature control method
US8691706B2 (en) 2012-01-12 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing substrate warpage in semiconductor processing
JP2013247197A (en) * 2012-05-24 2013-12-09 Sokudo Co Ltd Substrate processing device
WO2013173999A1 (en) 2012-05-24 2013-11-28 Acm Research (Shanghai) Inc. Loadlock chamber and method for treating substrates using the same
US20140087073A1 (en) * 2012-09-24 2014-03-27 Igor Constantin Ivanov Equipment and method of manufacturing for liquid processing in a controlled atmospheric ambient
JP6030393B2 (en) * 2012-09-26 2016-11-24 株式会社Screenホールディングス Substrate processing equipment
US20140270731A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Thermal management apparatus for solid state light source arrays
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
US9287148B1 (en) * 2014-12-18 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Dynamic heating method and system for wafer processing
TW201639063A (en) * 2015-01-22 2016-11-01 應用材料股份有限公司 Batch heating and cooling chamber or loadlock
JP6495707B2 (en) * 2015-03-25 2019-04-03 株式会社Screenホールディングス Exposure apparatus and substrate processing apparatus
US9685303B2 (en) * 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) * 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9728430B2 (en) * 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674786A (en) * 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US20020017377A1 (en) * 2000-08-11 2002-02-14 Anelva Corporation Heating and cooling apparatus, and vacuum processing apparatus equipped with this apparatus
US20080226272A1 (en) * 2005-11-14 2008-09-18 Tokyo Electron Limited Heating apparatus, heat treatment apparatus, computer program and storage medium
CN103201828A (en) * 2010-11-05 2013-07-10 夏普株式会社 Oxidation/annealing treatment apparatus and process for production of thin film transistor employing oxidation/annealing treatment
CN202003971U (en) * 2011-03-23 2011-10-05 中芯国际集成电路制造(上海)有限公司 Wafer conveying device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108615692A (en) * 2016-12-12 2018-10-02 北京北方华创微电子装备有限公司 Film magazine, reaction chamber and semiconductor equipment
CN108615692B (en) * 2016-12-12 2021-04-09 北京北方华创微电子装备有限公司 Cassette, reaction chamber and semiconductor device
CN110197807A (en) * 2018-02-24 2019-09-03 旺宏电子股份有限公司 Chip feeder
CN110323118A (en) * 2018-03-29 2019-10-11 Asm Ip控股有限公司 Substrate rack and base plate processing system and method
CN108955080A (en) * 2018-06-26 2018-12-07 武汉华星光电半导体显示技术有限公司 A kind of cooling equipment
CN108955080B (en) * 2018-06-26 2020-12-04 武汉华星光电半导体显示技术有限公司 Cooling equipment
CN110970344A (en) * 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
TWI797509B (en) * 2019-12-03 2023-04-01 大陸商拓荊科技股份有限公司 Heating apparatus for chemicals used in semiconductor manufacture

Also Published As

Publication number Publication date
KR20160090760A (en) 2016-08-01
JP2016154222A (en) 2016-08-25
TW201639063A (en) 2016-11-01
US11315806B2 (en) 2022-04-26
KR102444827B1 (en) 2022-09-20
CN105826226B (en) 2020-08-21
US20160218028A1 (en) 2016-07-28
US20190259638A1 (en) 2019-08-22
US10283379B2 (en) 2019-05-07

Similar Documents

Publication Publication Date Title
CN105826226A (en) Batch heating and cooling chamber or load locking device
US10586720B2 (en) Wafer processing systems including multi-position batch load lock apparatus with temperature control capability
KR101019814B1 (en) Heat treating apparatus, heat treating method and storage medium
TWI223364B (en) Apparatus for heating and cooling semiconductor device in handler for testing semiconductor device
US7812285B2 (en) Apparatus and method for heating substrate and coating and developing system
JP2016018994A (en) Device and method for pre-baking board upstream of processing chamber
JP6377717B2 (en) Temperature control system and method for small lot substrate handling system
CN101345188B (en) Plate, apparatus for adjusting temperature of substrate having the plate and apparatus for processing substrate having the plate
KR20070042889A (en) Coating and developing system and coating and developing method
US11444053B2 (en) Batch processing oven and method
TW417139B (en) Semiconductor processing furnace heating subassembly
US6947467B2 (en) Cooling system for heat treating furnace
KR101396539B1 (en) Device for testing temperature of mobile memory module
US20140131005A1 (en) Temperature control system for electrostatic chucks and electrostatic chuck for same
CN106653646A (en) Hot and cold chamber temperature controllable heating support rack
JP2002203779A (en) Heat treatment equipment
KR102397849B1 (en) Apparatus for treating a substrate
CN101740343B (en) High-accuracy composite disk structure and application thereof
KR20240002757A (en) Substrate processing apparatus
CN107256841B (en) Quick thermal annealing machine
TW202344711A (en) Reaction chamber, atomic layer deposition apparatus and a method
CN115031530A (en) High-cleanliness baking equipment
CN103029300A (en) Press mechanism and bonding apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant