CN105810574A - 金属绝缘体半导体(mis)接触及其形成方法以及晶体管 - Google Patents

金属绝缘体半导体(mis)接触及其形成方法以及晶体管 Download PDF

Info

Publication number
CN105810574A
CN105810574A CN201610004901.7A CN201610004901A CN105810574A CN 105810574 A CN105810574 A CN 105810574A CN 201610004901 A CN201610004901 A CN 201610004901A CN 105810574 A CN105810574 A CN 105810574A
Authority
CN
China
Prior art keywords
contact
metal
mis
metal ion
terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610004901.7A
Other languages
English (en)
Other versions
CN105810574B (zh
Inventor
陈家佑
刘作光
山下典洪
叶俊呈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN105810574A publication Critical patent/CN105810574A/zh
Application granted granted Critical
Publication of CN105810574B publication Critical patent/CN105810574B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/048Making electrodes
    • H01L21/049Conductor-insulator-semiconductor electrodes, e.g. MIS contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明涉及金属绝缘体半导体(MIS)接触及其形成方法以及晶体管。描述了一种用于形成金属绝缘体半导体(MIS)接触的方法、包括MIS的晶体管以及MIS接触。该方法包括:蚀刻用于形成接触的开口,所述开口延伸到半导体区域的上表面。该方法同样包括以选择的深度在半导体区域的上表面内注入金属离子;并且将半导体区域的上表面转换为金属氧化物绝缘层。该方法进一步包括在绝缘层上形成金属层。

Description

金属绝缘体半导体(MIS)接触及其形成方法以及晶体管
技术领域
本发明涉及晶体管,并且更具体地涉及在晶体管中注入形成的金属绝缘体半导体(MIS)接触。
背景技术
场效应晶体管(FET)一般包括三个端子:源极、漏极和栅极。在FET性能中的关键因素是外部源极-漏极电阻(Rext)或在源极和漏极接触处的电阻。硅化物(接合到金属的硅)已被用于源极和漏极接触,但硅化物接触电阻对Rext是显著的贡献者。金属绝缘体半导体(MIS)接触已被视为硅化物的替代。MIS接触通过在源极和漏极接触与相应的源极和漏极区域之间沉积绝缘层来形成。从理论上讲,MIS接触基于足够薄的绝缘层提供较低的电阻。
发明内容
根据本发明的一个实施例,一种形成金属绝缘体半导体(MIS)接触的方法包括:蚀刻用于形成接触的开口,该开口延伸到半导体区域的上表面;以选择的深度在半导体区域的上表面内注入金属离子;将半导体区域的上表面转换为金属氧化物绝缘层;以及在绝缘层上形成金属层。
根据另一个实施例,一种晶体管包括:源极端子;源极接触,其在源极端子上形成;漏极端子,其由栅极端子与源极端子分离;以及漏极接触,其在漏极端子上形成,其中源极接触和漏极接触是金属绝缘体半导体(MIS)接触,以及MIS接触的绝缘体分别经由在将金属离子注入到所述上表面中之后的源极端子和漏极端子的上表面的氧化来形成。
根据另一个实施例,一种用于晶体管的端子的金属绝缘体半导体(MIS)接触包括:由半导体材料形成的端子;绝缘层,其通过在金属离子的注入之后的端子的表面部分的氧化形成;以及金属层。
其它特征和优点通过本发明的技术来实现。本发明的其它实施例和方面在此详细描述并且被认为是所要求保护的发明的一部分。为更好地理解本发明的优点和特征,参考说明书和附图。
附图说明
被认为是本发明的主题被特别指出并在说明书结尾处的权利要求中清楚地要求保护。本发明的前述和其它特征以及优点从结合附图的以下详细描述中是显而易见的,在附图中:
图1是具有根据本发明实施例的源极接触和漏极接触的晶体管的横截面视图。
图2至图6是示出在制造根据本发明的实施例的源极接触和漏极接触中涉及的工艺步骤的横截面视图,在附图中:
图2示出用于开始接触形成工艺的中间结构;
图3示出将接触开口蚀刻到图2的结构中所得的中间结构;
图4示出在图3中所示的接触开口中的金属离子注入;
图5示出从图4中所示的金属离子注入所得的绝缘层;
图6示出根据实施例的形成为具有注入到绝缘层中的金属离子注入的MIS接触的源极和漏极接触;以及
图7是示出在制造根据本发明另一实施例的源极接触和漏极接触中涉及的工艺步骤的横截面视图。
具体实施方式
如上所述,Rext是在晶体管性能中的关键因素。一方面,硅化物接触电阻降低已被证明具有挑战性,在于诸如硅化镍(NiSi)和硅化钛(TiSi)的传统硅化物可能不能提供所需的接触电阻。另一方面,在理论上预测用于MIS接触的较低电阻已经难以在实践中实现。从MIS接触形成观测的较高电阻被认为与关于绝缘层的传统沉积技术相关,表明绝缘层的产生的厚度过大而不能达到所需的效果。因此,在此公开的是基于在薄绝缘层中金属注入而不是在更厚的绝缘层之上的金属沉积,通过形成MIS接触用于降低Rext的方法和相应结构的实施例。
现在总体上参考附图,图1是根据本发明实施例的具有源极接触110、漏极接触120以及栅极接触130的FET100的横截面视图。接触110、120、130未在图1中详述。而是,提供了晶体管100的结构的整体布置,指出下面详述的工艺并不限于任何特定类型的晶体管。此外,虽然外延生长的源极115和漏极125在示例性附图中使用,但是在此讨论的工艺也适用于注入的源极和漏极区域。为示例性晶体管100示出的三个FET端子,即源极115、漏极125以及栅极135分别具有与它们相关联的源极接触110、漏极接触125以及栅极接触135。源极和漏极端子115、125可以在半导体衬底140之上形成。源极接触110和漏极接触120形成为注入形成的MIS接触,这在下面详述。
图2至图6是示出制造根据本发明实施例的源极接触110和漏极接触120中涉及的工艺步骤的横截面视图。应当理解的是,本实施例适用于平面FET结构或三维结构FET(例如鳍片FET)。在图2中所示的中间结构200包括在衬底140上形成的源极115和漏极125。在所示实施例中,源极115和漏极125可通过在衬底140上生长选择性的外延硅来升高(即提高)。还应当理解的是,源极115和漏极120可以例如通过诸如将掺杂剂原子注入到半导体衬底的其它工艺来形成。氧化物层210(例如,二氧化硅(SiO2))形成在源极115和漏极125之上,以及在栅极叠层220之上。氮化硅(SiN)层230在氧化物层210之上被形成为蚀刻和离子注入掩模。
图3示出从在图2中示出的结构200中蚀刻源极接触开口310和漏极接触开口320所得的中间结构300。两个接触开口310、320通过诸如反应离子蚀刻(RIE)工艺的干法蚀刻工艺来蚀刻,以暴露源极115和漏极125的顶表面。蚀刻也可以部分地延伸到源极115和漏极125的半导体材料中。接触开口310、320可被同时蚀刻。可替代地,基于从多个器件形成产生的对准问题,例如RIE工艺可以单独地执行,以获得每一个接触开口310、320。图4示出中间结构400并且示出根据在此详述的实施例的源极接触110和漏极接触120的形成中的关键工艺。金属离子410注入到源极115和漏极125的上部区域中。金属离子410可以例如是铝(Al)、镧(La)、锌(Zn)或钛(Ti)。金属离子410注入深度可以被调整。调整的结果是产生低Rext的非常薄的绝缘层510(图5)。金属离子410注入可在真空中执行。
图5示出从在图4中示出的金属离子410注入所得的中间结构500,接着氧化以将源极115和漏极125的注入的上部区域转换为薄金属氧化物绝缘层510。根据一个实施例,氧化是从暴露于空气来实现的,并且可选地可通过例如氧注入或氧等离子体的沉积来辅助。在金属离子410注入之后,使用热处理(热退火),以辅助氧化物的形成。取决于被注入的金属离子410,氧化铝(Al2O3)、氧化镧(LaOx)、氧化锌(ZnO2)或二氧化钛(TiO2)可以形成。氧化的结果是金属氧化物绝缘层510和在它下面的相互混合层520。当金属离子410首先被注入时,它们在源极115和漏极125的顶表面处间隙地(interstitially)存在。通过氧化工艺,绝缘层510被形成。作为扩散的结果,在氧化物和半导体(源极115或漏极125)之间的相互混合层520也会产生。作为金属离子410注入的结果,所得的绝缘层510比MIS接触的典型绝缘层的厚度(约2纳米(nm))更薄。根据在此讨论的实施例的绝缘层510可以厚为小于1nm。
图6示出在金属离子410的注入以及离子到金属氧化物绝缘层510的转换之后所形成的MIS接触110、120。除了绝缘层510之外,在图6中所示的结构600同样包括如由本领域中已知的金属填充工艺所形成的金属衬里610和金属填料620。如图6所示,金属衬里610在开口310、320的表面上和在绝缘层510上共形沉积。金属衬里610可以例如是Ti和氮化钛(TiN)。金属填料620(例如钨(W))然后在金属衬里610之上的开口310、320中沉积。在金属填料620的沉积之后,化学机械抛光(CMP)工艺同样对于在图6中示出的结构600执行。这完成源极接触110和漏极接触120的形成。如上所述,Rext在源极接触110和漏极接触120中减小,该源极接触110和漏极接触120是通过在绝缘层410中的金属离子420的注入而不是在绝缘层之上的金属层的沉积来形成的MIS接触。所得的MIS接触110、120由金属填料620(金属)、绝缘层510(绝缘体)以及源极115或漏极125半导体表面(半导体)组成。
图7是在制造根据本发明的另一实施例的源极接触110和漏极接触125中涉及的工艺步骤的横截面视图。图7示出中间结构700,该中间结构700示出在图4中示出的工艺的替代实施例。具体地,在金属离子410的注入和到金属氧化物绝缘层510的转换之前,金属衬里610在源极接触开口310和漏极接触开口320中共形沉积。在金属填料620和CMP工艺之后,如在图6中所示,包括源极接触110和漏极接触120的结构600从图7的结构700获得。此外,金属离子410注入深度被选择以产生薄绝缘层510。同样,热处理可以适用于帮助氧化物(例如,Al2O3、LaOx、ZnO2、TiO2)的形成。
在此所用的术语仅用于描述特定实施例的目的,并非意在限制本发明。如在此所用,单数形式“一”、“一个”和“该”旨在也包括复数形式,除非上下文另外明确指出。将进一步理解,在本说明书中使用时的术语“包括”和/或“包含”指定所述特征、整数、步骤、操作、元件和/或组件的存在,但不排除存在或添加一个以上的其它特征、整数、步骤、操作、元件、组件和/或它们的组合。
相应的结构、材料、动作以及所有部件等同物或在下面的权利要求书中的步骤加功能要素旨在包括任何结构、材料或动作,用于执行结合如具体所要求保护的其它所要求保护的要素的功能。本发明的描述已经呈现用于说明和描述的目的,但并非意在穷举或限制以公开形式的本发明。许多修改和变化对于本领域的普通技术人员将是显而易见的,而不脱离本发明的范围和精神。实施例被选择并描述以最好地解释本发明的原理和实际应用,并且使本领域的技术人员能够理解用于具有各种修改的各种实施例的本发明为适合于预期的特定用途。
在此所描绘的流程图仅仅是一个示例。对于该示图或在其中描述的步骤(或操作)可能有许多变化。例如,步骤可以以不同的顺序来执行,或者可以添加、删除或修改。所有这些变化被认为是所要求保护的发明的一部分。
虽然本发明的优选实施例已被描述,但可以理解,无论是现在还是将来,本领域的技术人员可进行属于下面的权利要求范围之内的各种改进和增强。这些权利要求应被解释为保持对首先描述的本发明的适当的保护。
本发明的各种实施例的描述已经呈现用于说明的目的,但不旨在穷尽或限制于所公开的实施例。许多修改和变化对于本领域的普通技术人员将是显而易见的,而不脱离本发明的范围和精神。在此所用的术语被选择以最好地解释实施例的原理、实际应用,或胜于在市场中发现的技术的技术改进,或使本领域的其他普通技术人员能够理解在此公开的实施例。

Claims (20)

1.一种用于形成金属绝缘体半导体(MIS)接触的方法,所述方法包括:
蚀刻用于形成所述接触的开口,所述开口延伸到半导体区域的上表面;
以选择的深度在所述半导体区域的所述上表面内注入金属离子;
将所述半导体区域的所述上表面转换为金属氧化物绝缘层;以及
在所述金属氧化物绝缘层上形成金属层。
2.根据权利要求1所述的方法,其中蚀刻所述开口包括执行通过氧化物层到源极端子或漏极端子的表面的反应离子蚀刻工艺。
3.根据权利要求1所述的方法,进一步包括在所述开口中共形沉积金属衬里。
4.根据权利要求3所述的方法,其中沉积所述金属衬里包括沉积钛和氮化钛。
5.根据权利要求3所述的方法,其中沉积所述金属衬里在注入所述金属离子之前。
6.根据权利要求3所述的方法,其中沉积所述金属衬里在注入所述金属离子之后,并且包括在所述绝缘层上共形沉积所述金属衬里。
7.根据权利要求1所述的方法,其中所述转换包括在注入所述金属离子之后,注入氧到所述半导体区域的所述上表面中以辅助所述金属氧化物绝缘层的形成。
8.根据权利要求1所述的方法,其中所述转换包括在注入所述金属离子之后,执行热退火以辅助所述金属氧化物绝缘层的形成。
9.根据权利要求1所述的方法,其中形成所述金属层包括用金属填料填充所述开口。
10.根据权利要求9所述的方法,其中形成所述金属层包括执行化学机械抛光工艺。
11.根据权利要求1所述的方法,其中注入所述金属离子包括注入铝、镧、锌或钛离子。
12.一种晶体管,包括
源极端子;
源极接触,形成在所述源极端子上;
漏极端子,通过栅极端子与所述源极端子分离;以及
漏极接触,形成在所述漏极端子上,其中
所述源极接触和所述漏极接触是金属绝缘体半导体(MIS)接触,以及
所述MIS接触的所述绝缘体分别经由在将金属离子注入到所述上表面中之后的所述源极端子和所述漏极端子的上表面的氧化来形成。
13.根据权利要求12所述的晶体管,其中所述金属离子由铝、镧、锌或钛离子组成。
14.根据权利要求12所述的晶体管,其中所述源极接触和所述漏极接触同样包括金属衬里和金属填料。
15.根据权利要求14所述的晶体管,其中所述金属衬里由钛和氮化钛组成。
16.根据权利要求14所述的晶体管,其中在所述金属离子的注入之前,所述金属衬里在所述源极接触和所述漏极接触的内表面上共形形成。
17.根据权利要求14所述的晶体管,其中在所述金属离子的注入和所述绝缘层的形成之后,所述金属衬里在所述源极接触和所述漏极接触的内表面上和所述绝缘层上共形形成。
18.一种用于晶体管的端子的金属绝缘体半导体(MIS)接触,所述接触包括:
由半导体材料形成的所述端子;
绝缘层,通过在金属离子的注入之后的所述端子的表面部分的氧化形成;以及
金属层。
19.根据权利要求18所述的接触,其中所述金属离子由铝、镧、锌或钛离子组成。
20.根据权利要求18所述的方法,进一步包括在所述接触的内表面上和在绝缘层上共形形成的金属衬里,其中所述金属衬里由钛和氮化钛组成。
CN201610004901.7A 2015-01-20 2016-01-05 金属绝缘体半导体(mis)接触及其形成方法以及晶体管 Active CN105810574B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/600077 2015-01-20
US14/600,077 US9391152B1 (en) 2015-01-20 2015-01-20 Implantation formed metal-insulator-semiconductor (MIS) contacts

Publications (2)

Publication Number Publication Date
CN105810574A true CN105810574A (zh) 2016-07-27
CN105810574B CN105810574B (zh) 2018-09-14

Family

ID=56321138

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610004901.7A Active CN105810574B (zh) 2015-01-20 2016-01-05 金属绝缘体半导体(mis)接触及其形成方法以及晶体管

Country Status (2)

Country Link
US (3) US9391152B1 (zh)
CN (1) CN105810574B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106328509A (zh) * 2016-08-31 2017-01-11 上海华力微电子有限公司 一种形成mis结构的方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
KR102276650B1 (ko) 2017-04-03 2021-07-15 삼성전자주식회사 반도체 소자의 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5518936A (en) * 1992-05-12 1996-05-21 Sharp Kabushiki Kaisha Method for producing metal wirings on an insulating substrate
CN1447400A (zh) * 2002-03-26 2003-10-08 联华电子股份有限公司 形成含钛黏着层的方法
CN1734747A (zh) * 2004-08-13 2006-02-15 上海华虹Nec电子有限公司 常温淀积钛的硅化钛在cmos工艺中的实现方法
US20080261368A1 (en) * 2007-04-20 2008-10-23 Manfred Ramin Work function adjustment with the implant of lanthanides
CN102110717A (zh) * 2011-01-26 2011-06-29 成都瑞芯电子有限公司 沟槽式金属氧化物半导体场效应晶体管及其制造方法
CN104051530A (zh) * 2013-03-14 2014-09-17 台湾积体电路制造股份有限公司 金属氧化物半导体场效应晶体管

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4354309A (en) 1978-12-29 1982-10-19 International Business Machines Corp. Method of manufacturing a metal-insulator-semiconductor device utilizing a graded deposition of polycrystalline silicon
JPH0214578A (ja) 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
DE10142481A1 (de) 2001-08-31 2003-03-27 Rudolf Hezel Solarzelle sowie Verfahren zur Herstellung einer solchen
US6703277B1 (en) * 2002-04-08 2004-03-09 Advanced Micro Devices, Inc. Reducing agent for high-K gate dielectric parasitic interfacial layer
US7223640B2 (en) * 2005-03-03 2007-05-29 Advanced Micro Devices, Inc. Semiconductor component and method of manufacture
EP2248173A4 (en) 2007-10-30 2012-04-04 Moxtronics Inc HIGH PERFORMANCE HETEROSTRUCTURE FIELD EFFECT TRANSISTORS AND METHODS
JP5196954B2 (ja) 2007-10-31 2013-05-15 株式会社東芝 半導体装置の製造方法
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US9240480B2 (en) * 2013-03-14 2016-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-oxide-semiconductor field-effect transistor with metal-insulator semiconductor contact structure to reduce Schottky barrier
US9390939B2 (en) 2014-05-29 2016-07-12 Globalfoundries Inc. Methods of forming MIS contact structures for semiconductor devices and the resulting devices
US20160049370A1 (en) 2014-08-12 2016-02-18 Globalfoundries Inc. Methods of forming mis contact structures for semiconductor devices by selective deposition of insulating material and the resulting devices

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5518936A (en) * 1992-05-12 1996-05-21 Sharp Kabushiki Kaisha Method for producing metal wirings on an insulating substrate
CN1447400A (zh) * 2002-03-26 2003-10-08 联华电子股份有限公司 形成含钛黏着层的方法
CN1734747A (zh) * 2004-08-13 2006-02-15 上海华虹Nec电子有限公司 常温淀积钛的硅化钛在cmos工艺中的实现方法
US20080261368A1 (en) * 2007-04-20 2008-10-23 Manfred Ramin Work function adjustment with the implant of lanthanides
CN102110717A (zh) * 2011-01-26 2011-06-29 成都瑞芯电子有限公司 沟槽式金属氧化物半导体场效应晶体管及其制造方法
CN104051530A (zh) * 2013-03-14 2014-09-17 台湾积体电路制造股份有限公司 金属氧化物半导体场效应晶体管

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106328509A (zh) * 2016-08-31 2017-01-11 上海华力微电子有限公司 一种形成mis结构的方法

Also Published As

Publication number Publication date
US20160211343A1 (en) 2016-07-21
US20160211340A1 (en) 2016-07-21
US9997609B2 (en) 2018-06-12
US9391152B1 (en) 2016-07-12
CN105810574B (zh) 2018-09-14
US9991355B2 (en) 2018-06-05
US20160211342A1 (en) 2016-07-21

Similar Documents

Publication Publication Date Title
US10163729B2 (en) Silicon and silicon germanium nanowire formation
JP2020074389A (ja) ホウ素ドープゲルマニウムの濃度が高いトランジスタ
CN103022102B (zh) 用于超薄界面介电层的多层清除金属栅极堆叠件
US9076816B2 (en) Method and device for self-aligned contact on a non-recessed metal gate
CN106158860B (zh) 半导体结构及其制造方法
US9171934B2 (en) Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein
TWI496287B (zh) 雙介電體三閘極場效電晶體
CN107978635A (zh) 一种半导体器件及其制造方法和电子装置
US9443771B1 (en) Methods to thin down RMG sidewall layers for scalability of gate-last planar CMOS and FinFET technology
US10643894B2 (en) Surface area and Schottky barrier height engineering for contact trench epitaxy
CN106206434A (zh) 半导体结构及其制造工艺
CN105810574A (zh) 金属绝缘体半导体(mis)接触及其形成方法以及晶体管
JP2009123944A (ja) 半導体装置及びその製造方法
US7732280B2 (en) Semiconductor device having offset spacer and method of forming the same
CN104008974A (zh) 半导体器件及其制造方法
JP5717706B2 (ja) 半導体装置及びその製造方法
CN108630533A (zh) 一种半导体器件的制造方法
CN108447824A (zh) 一种半导体器件及其制造方法
US8536645B2 (en) Trench MOSFET and method for fabricating same
CN103094217B (zh) 晶体管制作方法
CN104051513A (zh) 半导体装置和制造半导体装置的方法
CN104253049B (zh) 半导体器件制造方法
CN107845680A (zh) 一种半导体器件及其制造方法
CN109148299B (zh) 半导体装置及其制造方法
CN109962105B (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant