CN105702619A - 半导体结构的形成方法 - Google Patents

半导体结构的形成方法 Download PDF

Info

Publication number
CN105702619A
CN105702619A CN201410707629.XA CN201410707629A CN105702619A CN 105702619 A CN105702619 A CN 105702619A CN 201410707629 A CN201410707629 A CN 201410707629A CN 105702619 A CN105702619 A CN 105702619A
Authority
CN
China
Prior art keywords
dielectric layer
layer
opening
semiconductor structure
forming method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410707629.XA
Other languages
English (en)
Inventor
张海洋
胡敏达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201410707629.XA priority Critical patent/CN105702619A/zh
Publication of CN105702619A publication Critical patent/CN105702619A/zh
Pending legal-status Critical Current

Links

Abstract

一种半导体结构的形成方法,包括:提供基底以及位于基底表面的介质层,所述基底内具有底层金属层;刻蚀所述介质层,形成贯穿介质层的开口,且所述开口底部暴露出底层金属层表面;对所述开口侧壁处的介质层进行预修复照射处理,增加开口侧壁处的介质层表面接触角;在进行所述预修复照射处理之后,对所述开口进行湿法清洗处理;形成填充满所述开口的导电层。本发明增加开口侧壁处介质层表面的接触角,从而提高开口侧壁处介质层表面疏水性能力,避免湿法清洗处理对开口侧壁处的介质层造成腐蚀,从而提高击穿电压,抑制与时间相关介质击穿问题,改善半导体结构的可靠性和电学性能。

Description

半导体结构的形成方法
技术领域
本发明涉及半导体制作领域技术,特别涉及一种半导体结构的形成方法。
背景技术
随着超大规模集成电路工艺技术的不断进步,半导体器件的特征尺寸不断缩小,芯片面积持续增大,互连结构的延迟时间已经可以与器件门延迟时间相比较。人们面临着如何克服由于连接长度的急速增长而带来的RC(R指电阻,C指电容)延迟显著增加的问题。特别是由于金属布线间电容的影响日益严重,造成器件性能大幅度下降,已经成为半导体工业进一步发展的关键制约因素。为了减小互连造成的RC延迟,现已采用了多种措施。
互连结构之间的寄生电容和互连电阻造成了信号的传输延迟。由于铜具有较低的电阻率,优越的抗电迁移特性和高的可靠性,能够降低金属的互连电阻,进而减小总的互连延迟效应,现已由常规的铝互连改变为低电阻的铜互连。同时降低互连之间的电容同样可以减小延迟,而寄生电容C正比于电路层绝缘介质的相对介电常数k,因此使用低k材料作为不同电路层的绝缘介质代替传统的SiO2介质已成为满足高速芯片的发展的需要。
然而,现有技术形成的半导体结构的电学性能仍有待提高。
发明内容
本发明解决的问题是提供一种半导体结构的形成方法,提高开口侧壁处介质层表面接触角,从而提高开口侧壁处介质层的疏水性,提高开口侧壁处介质层抗腐蚀能力,防止湿法清洗处理对开口侧壁处介质层造成刻蚀,从而提高半导体结构的击穿电压,改善时间相关电介质问题,优化半导体结构的可靠性和电学性能。
为解决上述问题,本发明提供一种半导体结构的形成方法,包括:提供基底以及位于基底表面的介质层,所述基底内具有底层金属层;刻蚀所述介质层,形成贯穿介质层的开口,且所述开口底部暴露出底层金属层表面;对所述开口侧壁处的介质层进行预修复照射处理,增加开口侧壁处的介质层表面接触角;在进行所述预修复照射处理之后,对所述开口进行湿法清洗处理;形成填充满所述开口的导电层。
可选的,在进行所述预修复照射处理后,所述开口侧壁处的介质层表面的疏水性增强。
可选的,所述预修复照射处理采用的波长为200纳米至1000纳米。
可选的,在进行所述预修复照射处理后,所述开口侧壁处的介质层表面接触角角度为92度至110度。
可选的,所述湿法清洗处理的刻蚀液体包括氢氟酸溶液或双氧水溶液。
可选的,形成所述导电层的工艺步骤包括:形成填充满所述开口的导电层,所述导电层还位于介质层表面;去除高于介质层表面的导电层。
可选的,在去除所述高于介质层表面的导电层之后,对所述介质层进行第二次预修复照射处理。
可选的,采用多道研磨工艺,去除所述高于介质层表面的导电层;且在每一次研磨工艺之后,对所述介质层进行一次第二次预修复照射处理。
可选的,在进行所述第二次预修复照射处理后,介质层表面的接触角变大,介质层的疏水性增强。
可选的,所述第二次预修复照射处理采用的波长为200纳米至1000纳米。
可选的,在形成所述开口之前、进行预修复照射处理之后,还包括步骤:采用含氮等离子体对所述开口进行刻蚀后处理。
可选的,形成所述开口的工艺步骤包括:在所述介质层表面形成第一掩膜层,所述第一掩膜层内形成有暴露出部分介质层表面的第一凹槽;然后形成覆盖于第一掩膜层表面以及介质层表面的第二掩膜层,所述第二掩膜层内形成有第二凹槽,且所述第二凹槽尺寸小于第一凹槽尺寸;以所述第二掩膜层为掩膜,刻蚀去除部分厚度的介质层;去除所述第二掩膜层;然后以所述第一掩膜层为掩膜,继续刻蚀所述介质层,直至暴露出底层金属层表面,形成所述开口。
可选的,所述第一掩膜层的材料为SiN、SiC、SiCN、Ta、Ti、Tu、TaN、TuN或WN。
可选的,所述第二掩膜层包括有机分布层、位于有机分布层表面的底部抗反射涂层、以及位于底部抗反射涂层表面的光刻胶层。
可选的,所述介质层的材料为SiO2、低k介质材料或超低k介质材料。
可选的,在形成所述开口之前,还包括步骤:在所述介质层表面形成钝化层。
可选的,在所述基底和介质层之间形成有刻蚀停止层。
可选的,所述导电层包括:位于开口底部和侧壁表面的导电阻挡层、以及位于导电阻挡层表面且填充满开口的导电体层。
与现有技术相比,本发明的技术方案具有以下优点:
本发明提供的半导体结构形成方法的技术方案中,在形成贯穿介质层的开口之后,对开口侧壁处的介质层进行预修复照射处理,增加开口侧壁处的介质层表面接触角,从而使得开口侧壁处的介质层表面的疏水性得到增强。然后对开口进行湿法清洗处理,由于开口侧壁处介质层表面疏水性增加,使得开口侧壁处介质层抗腐蚀能力提高,从而有效的避免湿法清洗处理对开口侧壁处的介质层造成刻蚀损伤,有利于形成高质量的导电层,且导电层与介质层的界面性能良好,防止相邻导电层之间的距离变近,从而提高半导体结构的击穿电压,抑制与时间相关介质击穿问题,改善半导体结构的可靠性以及电学性能。
进一步,所述预修复照射处理采用的波长为200纳米至1000纳米,使得开口侧壁处的介质层的疏水性得到增强,且开口侧壁处介质层的相对密度和杨氏模量增加,从而进一步提高开口侧壁处介质层表面的抗腐蚀能力。
进一步,在去除高于介质层表面的导电层之后,对介质层进行第二次预修复照射处理,能够提高整个介质层表面的疏水性,防止介质层吸收外界环境中的水分,从而进一步提高半导体结构的击穿电压,进一步抑制与时间相关介质击穿问题。
更进一步,采用多道研磨工艺,去除高于介质层表面的导电层;且在每一次研磨工艺之后,对介质层进行一次第二次预修复照射处理,能够有效抑制介质层在研磨工艺过程中吸收研磨浆料中的水分,从而进一步使介质层保持良好的性能,进一步改善半导体结构的可靠性及电学性能。
附图说明
图1至图10为本发明一实施例提供的半导体结构形成过程的剖面结构示意图。
具体实施方式
由背景技术可知,现有技术形成的半导体结构的电学性能有待提高,例如,半导体结构的击穿电压(VBD:BreakdownVoltage)低,且存在时间相关介质击穿(TDDB:TimeDependentDielectricBreakdown)问题。
经研究发现,通常采用干法刻蚀工艺刻蚀介质层,形成贯穿介质层的开口,在干法刻蚀工艺过程中会在开口内引入杂质,例如刻蚀气体与介质层发生反应生成反应副产物、刻蚀气体与掩膜层材料(如光刻胶、有机分布材料、抗反射材料)发生反应生成反应副产物,部分所述反应副产物会掉落在开口底部以及开口侧壁。
为此,在开口内填充导电层之前,需要对开口进行清洗处理,以清洗去除上述反应副产物。所述清洗处理会对开口侧壁处的介质层造成一定的刻蚀,造成开口的侧壁表面受到刻蚀损伤。特别的,由于反应副产物在重力作用下大多数聚集在开口的底部区域,而开口的顶部区域侧壁的反应副产物相对较少,开口顶部区域侧壁的反应副产物更容易被清洗干净,因此清洗处理对开口顶部区域侧壁处的介质层的刻蚀损伤更加严重。清洗处理对开口侧壁处的介质层的刻蚀损伤,会造成以下三方面的不良影响:
一方面,由于介质层受到刻蚀损伤,导致介质层的可靠性变差。另一方面,由于开口的顶部区域侧壁处的介质层刻蚀损伤较为严重,导致开口顶部区域侧壁向两侧的介质层内凹陷,在所述凹陷处形成导电层的难度增加,且所述凹陷处的导电层与介质层界面缺陷多,甚至会出现空隙。最后,由于开口侧壁处介质层表面造成损伤,导致相邻导电层之间的距离变近,相邻导电层之间更加容易发生击穿。
上述不良影响均会造成半导体结构的击穿电压下降、时间相关介质击穿问题严重。
进一步研究发现,清洗处理通常为湿法刻蚀,若能够提高介质层的疏水性能,那么湿法刻蚀对介质层的刻蚀速率将显著减小,从而避免清洗处理带来的不良影响。
为此,本发明提供一种半导体结构的形成方法,提供基底以及位于基底表面的介质层,所述基底内具有底层金属层;刻蚀所述介质层,形成贯穿介质层的开口,且所述开口底部暴露出底层金属层表面;对所述开口侧壁处的介质层进行预修复照射处理,增加开口侧壁处的介质层表面接触角;在进行所述预修复照射处理之后,对所述开口进行湿法清洗处理;形成填充满所述开口的导电层。本发明提高了开口侧壁处介质层表面的疏水性,从而提高开口侧壁处介质层抗腐蚀能力,防止湿法清洗处理对开口侧壁处介质层造成损伤,进而提高击穿电压,改善与时间相关介质击穿问题,优化半导体结构的可靠性及电学性能。
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
图1至图10为本发明一实施例提供的半导体结构形成过程的剖面结构示意图。
请参考图1,提供基底100以及位于基底100表面的介质层103,所述基底100内具有底层金属层101。
所述基底100的材料为硅、锗、锗化硅、碳化硅或砷化镓;所述基底100的材料还可以为单晶硅、多晶硅、非晶硅或绝缘体上的硅。
所述基底100表面还可以形成有若干界面层或外延层以提高半导体结构的电学性能。所述基底100内还可以形成有半导体器件,所述半导体器件为PMOS晶体管、NMOS晶体管、CMOS晶体管、电容器、电阻器或电感器。
本实施例中,所述基底100为硅基底。
所述底层金属层101用于与待形成的互连结构相连接,也可用于后续形成的互连结构与外部或其他金属层的电连接。所述底层金属层101的材料为Cu、Al或W等导电材料。
所述介质层103的材料为二氧化硅、低k介质材料(低k介质材料指相对介电常数低于3.9的介质材料)或超低k介质材料(超低k介质材料指相对介电常数低于2.5的介质材料)。
所述介质层103的材料为低k介质材料或超低k介质材料时,介质层103的材料为SiOH、SiCOH、FSG(掺氟的二氧化硅)、BSG(掺硼的二氧化硅)、PSG(掺磷的二氧化硅)、BPSG(掺硼磷的二氧化硅)、氢化硅倍半氧烷(HSQ,(HSiO1.5)n)或甲基硅倍半氧烷(MSQ,(CH3SiO1.5)n)。
本实施例中,所述介质层103的材料为超低k介质材料,所述超低k介质材料为SiCOH。
在介质层103表面形成钝化层113,所述钝化层113起到保护介质层103的作用,介质层103的晶格常数与后续形成的第一掩膜层的晶格常数相差较大,而钝化层113的晶格常数位于二者之间,因此钝化层113也起到过渡作用,避免由于晶格常数突变而对介质层103施加应力造成介质层103变形。钝化层113的材料为氧化硅或含碳氧化硅。
本实施例在所述基底100与介质层103之间形成刻蚀停止层102,在后续刻蚀工艺过程中,刻蚀工艺对刻蚀停止层102的速率远小于对介质层103的刻蚀速率,从而起到刻蚀停止作用,避免刻蚀工艺对基底100造成过刻蚀。
后续刻蚀介质层103形成贯穿介质层103的开口,所述开口为单大马士革开口或双大马士革开口,可以采用先形成通孔后形成沟槽(viafirsttrenchlast)、先形成沟槽后形成通孔(trenchfirstvialast)或同时形成通孔和沟槽(viaandtrenchall-inoneetch)的方法形成双大马士革开口。本实施例以后续形成的开口为双大马士革开口,且采用同时形成通孔和沟槽的方法作为示例。
请参考图2,在所述介质层103表面形成第一掩膜层105,所述第一掩膜层105内形成有暴露出部分介质层103表面的第一凹槽106。
本实施例中第一掩膜层105位于钝化层113表面。所述第一凹槽106定义出后续形成沟槽的位置和尺寸。所述第一掩膜层105为单层结构或叠层结构。第一掩膜层105的材料为SiN、SiC、SiCN、、Ta、Ti、Tu、TaN、TuN或WN。
随着半导体结构尺寸的不断缩小,金属材料作为第一掩膜层105的材料时,第一掩膜层105与介质层103之间具有很高的刻蚀选择比;因此,要形成一定高度的沟槽或通孔,需要的金属材料的第一掩膜层105厚度比较小,能够有效避免第一掩膜层105坍塌问题,且由于金属材料的第一掩膜层105具有高刻蚀选择比的特性,能够非常精确的控制后续形成的开口形貌。
为优化后续形成的开口的形貌,本实施例中第一掩膜层105的材料为金属材料,例如,金属材料为Ta、Ti、Tu、TaN、TuN或WN。
在其他实施例中,第一掩膜层还可以为介质掩膜层以及位于介质掩膜层表面的金属掩膜层的叠层结构。
请参考图3,形成覆盖于第一掩膜层105表面以及介质层103表面的第二掩膜层,所述第二掩膜层内形成有第二凹槽107,且所述第二凹槽107尺寸小于第一凹槽106(参考图2)尺寸。
本实施例中第二掩膜层覆盖于第一掩膜层105表面以及钝化层113表面。所述第二凹槽107定义出后续形成的通孔的位置和尺寸。
本实施例中,所述第二掩膜层包括有机分布层108、位于有机分布层108表面的底部抗反射涂层109、以及位于底部抗反射涂层109表面的光刻胶层110,其中,所述第二凹槽107贯穿所述光刻胶层110且暴露出底部抗反射涂层109表面。
在其他实施例中,所述第二掩膜层可以为光刻胶层、或者底部抗反射涂层和光刻胶层的叠层结构、或者为光刻胶层和顶部抗反射涂层的叠层结构。
请参考图4,以所述第二掩膜层为掩膜,沿第二凹槽107(参考图3)刻蚀去除部分厚度的介质层103。
本实施例中,在刻蚀去除部分厚度的介质层103之前,还包括步骤:沿第二凹槽107依次刻蚀底部抗反射涂层109(参考图3)以及有机分布层108(参考图3),继续刻蚀钝化层113使介质层103顶部表面被暴露出来。
采用干法刻蚀工艺刻蚀所述介质层103。在一个具体实施例中,所述干法刻蚀工艺的刻蚀气体包括CF4或CHF3
本实施例在干法刻蚀工艺过程中,光刻胶层110(参考图3)以及底部抗反射涂层109(参考图3)被消耗,因此在刻蚀去除部分厚度的介质层103之后,只需要刻蚀去除有机分布层108(参考图3)即可。
在其他实施例中,若在刻蚀去除部分厚度的介质层之后,介质层上方仍有部分厚度的光刻胶层,则需要刻蚀去除光刻胶层、底部抗反射涂层以及有机分布层。
请参考图5,以所述第一掩膜层105为掩膜,继续刻蚀所述介质层103,直至暴露出底层金属层101表面,形成开口111。
在刻蚀介质层103之前,刻蚀钝化层113以暴露出介质层103表面。
采用干法刻蚀工艺,刻蚀所述介质层103以及刻蚀停止层102,直至暴露出底层金属层101表面。在一个具体实施例中,所述干法刻蚀工艺的刻蚀气体包括CF4或CHF3
所述开口111底部暴露出底层金属层101,后续会形成填充满开口111的导电层,使底层金属层101与导电层电连接。
由于在干法刻蚀工艺过程中,所述刻蚀气体与光刻胶层110、底部抗反射涂层109以及介质层103的材料会发生化学反应,生成了反应副产物。一部分反应副产物能随着气体的流动而被带出刻蚀腔室,而剩余部分反应副产物在掉落在开口111内,附着在开口111侧壁以及底部。若在形成导电层之前不将所述堆积的反应副产物去除,那么将严重影响形成的导电层的质量,甚至可能造成导电层与底层金属层101之间断路。
因此,在形成所述开口111之后,还包括步骤:采用含氮等离子体对开口111进行刻蚀后处理(PET,PostEtchTreatment)。所述含氮等离子体能够刻蚀去除开口111内的部分反应副产物。
请参考图6,对所述开口111侧壁处的介质层103进行预修复照射处理,增加开口111侧壁处的介质层103表面接触角(WCA,WaferContactAngel)。
在干法刻蚀处理后,尽管会采用含氮等离子体对开口111进行刻蚀后处理,能够去除部分反应副产物,然而开口111内仍有部分难以被含氮等离子体刻蚀去除的反应副产物。
通常采用湿法清洗工艺,以完全清洗去除反应副产物。然而,由于湿法清洗工艺会对开口111侧壁处的介质层103造成腐蚀,导致开口111侧壁处的介质层103表面形貌变差,影响后续填充导电层的质量,并且还会造成介质层103的性能变差,后续一些区域的相邻导电层之间的距离变近,造成半导体结构的击穿电压变低、以及与时间相关介质击穿问题严重。特别的,由于开口111顶部区域侧壁表面堆积的反应副产物含量低于开口111底部区域侧壁表面堆积的反应副产物含量,湿法清洗处理更容易去除开口111顶部区域侧壁表面堆积的反应副产物,因此湿法清洗处理对开口111顶部区域侧壁处的腐蚀情况更为严重,会导致填充导电层的难度增加,进一步导致导电层与介质层103侧壁处缺陷变多,相邻导电层之间距离变近,影响半导体结构的可靠性和电学性能。
为此,本实施例在进行湿法清洗处理之前,对开口111侧壁处的介质层103进行预修复照射处理,增加开口111侧壁处的介质层103表面接触角,使得开口111侧壁处的介质层103表面的疏水性增强,从而提高开口111侧壁处的介质层103抗腐蚀能力,进而避免后续的湿法清洗处理工艺对开口111侧壁处的介质层103造成腐蚀。
本实施例中,所述预修复照射处理采用的波长为200纳米至1000纳米,例如300纳米、450纳米、600纳米、850纳米。
在进行所述预修复照射处理后,所述开口111侧壁处的介质层103表面接触角角度为92度至110度;而在预修复照射处理之前,开口111侧壁处的介质层103表面接触角角度为90度左右,因此本实施例增加了开口111侧壁处的介质层103表面接触角角度,从而有效的提高了开口111侧壁处的介质层103表面的疏水性,提高开口111侧壁处介质层103的抗腐蚀能力。
并且,在上述波长范围下进行的预修复照射处理,能够使开口111侧壁处介质层103内的甲基基团(-CH3)减少,并且在开口111侧壁处介质层103内形成重新排列的Si-O键,所述重新排列形成的Si-O键与介质层103内的笼状(cagetype)Si-O键不同,因此提高了开口111侧壁处的介质层103的相对密度以及杨氏模量,从而进一步提高开口111侧壁处的介质层103的抗腐蚀能力。
在进行所述预修复照射处理之后,对所述开口111进行湿法清洗处理。所述湿法清洗处理去除开口111内残留的反应副产物,提高开口111的清洁度,为后续形成导电层提供良好界面基础。
所述湿法清洗处理的刻蚀液体包括氢氟酸溶液、双氧水溶液或有机溶液。
由于本实施例在进行湿法清洗处理前,对开口111侧壁处的介质层103进行了预修复照射处理,开口111侧壁处介质层103表面的疏水性增强,且开口111侧壁处介质层103的相对密度和杨氏模量增加,因此开口111侧壁处的介质层103具有很强的抗腐蚀能力,能够防止湿法清洗处理对开口111侧壁处的介质层103造成刻蚀损伤,使得开口111侧壁处的介质层103表面性能良好,从而提高半导体结构的击穿电压、抑制与时间相关介质击穿问题,改善半导体结构的可靠性以及电学性能。
请参考图7,形成填充满所述开口111(参考图6)的导电层,所述导电层还位于介质层103表面。
本实施例中,由于介质层103表面覆盖有第一掩膜层105,因此所述导电层还覆盖于第一掩膜层105表面。
所述导电层可以为单层结构,也可以为多层结构。所述导电层为单层结构时,所述导电层包括填充满开口111且覆盖第一硬掩膜层105的金属体层。
本发明实施例以所述导电层为多层结构作示范性说明。
所述导电层包括:位于开口111底部和侧壁且覆盖第一硬掩膜层105导电阻挡层211、以及位于导电阻挡层211表面且填充满开口111的导电体层212。
所述导电阻挡层211以防止导电体层212的金属离子向基底100或介质层103中扩散造成污染,提高半导体结构的性能;且导电阻挡层211可以为导电体层212的形成提供良好的界面态,使得形成的导电体层212与介质层103之间具有较高的粘附性。
所述导电阻挡层211的材料为Ti、Ta、W、TiN、TaN、TiSiN、TaSiN、WN或WC中的一种或几种。
所述导电阻挡层211的形成工艺可以为化学气相沉积、物理气相沉积或原子层沉积等工艺。
所述导电体层212的材料为Cu,所述导电体层212的形成工艺为物理气相沉积或电镀法。
请参考图8,去除高于介质层103表面的导电层。
本实施例中,还去除高于介质层103表面的第一掩膜层105(参考图7)以及钝化层113(参考图7)。
采用化学机械抛光工艺,研磨去除高于介质层103表面的导电层、第一掩膜层105以及钝化层113。
请参考图9,在去除所述高于介质层103表面的导电层之后,对所述介质层103进行第二次预修复照射处理。
在前述对开口111侧壁处的介质层103表面进行预修复照射处理过程中,由于介质层103顶部表面被第一掩膜层105覆盖,由于第一掩膜层105的阻挡作用,使得预修复照射处理仅对开口111侧壁处的介质层103起到较强作用。
为此,本实施例在去除高于介质层103表面的导电层以及第一掩膜层105之后,对介质层103进行第二次预修复照射处理。在进行所述第二次修复照射处理后,介质层103表面接触角变大,介质层103的疏水性增强,从而防止介质层103吸收外界环境中的水汽而造成介质层103性能变差,从而进一步提高半导体结构的可靠性以及电学性能。
所述第二次预修复照射处理采用的波长为200纳米至1000纳米。
在其他实施例中,还可以采用多道研磨工艺,去除高于介质层表面的导电层;且在每一次研磨工艺之后,对所述介质层进行一次第二次预修复照射处理。由于研磨工艺提供有一定的水分,采用多道研磨工艺,且在每一次研磨工艺之后,对介质层进行一次第二次预修复照射处理的方法,能够避免介质层在研磨工艺过程中吸收水分,从而进一步使介质层保持良好性能,进一步改善半导体结构的可靠性及电学性能。
请参考图10,形成覆盖于介质层103表面以及导电层表面的盖帽层213。
所述盖帽层213能够阻止Cu扩散至不期望区域,同时阻止空气中的O2扩散进入导电层内,避免Cu发生氧化反应造成半导体结构可靠性变差。
所述盖帽层213的材料为SiCN、CuSiN或CuSi。
能够阻止Cu扩散进入介质层中,同时阻止空气中的O2扩散进入互连结构中,避免Cu发生氧化反应造成互连结构可靠性,Cu被氧化后与金属帽层的粘附性也会变差。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (18)

1.一种半导体结构的形成方法,其特征在于,包括:
提供基底以及位于基底表面的介质层,所述基底内具有底层金属层;
刻蚀所述介质层,形成贯穿介质层的开口,且所述开口底部暴露出底层金属层表面;
对所述开口侧壁处的介质层进行预修复照射处理,增加开口侧壁处的介质层表面接触角;
在进行所述预修复照射处理之后,对所述开口进行湿法清洗处理;
形成填充满所述开口的导电层。
2.根据权利要求1所述的半导体结构的形成方法,其特征在于,在进行所述预修复照射处理后,所述开口侧壁处的介质层表面的疏水性增强。
3.根据权利要求1或2所述的半导体结构的形成方法,其特征在于,所述预修复照射处理采用的波长为200纳米至1000纳米。
4.根据权利要求2所述的半导体结构的形成方法,其特征在于,在进行所述预修复照射处理后,所述开口侧壁处的介质层表面接触角角度为92度至110度。
5.根据权利要求1所述的半导体结构的形成方法,其特征在于,所述湿法清洗处理的刻蚀液体包括氢氟酸溶液或双氧水溶液。
6.根据权利要求1所述的半导体结构的形成方法,其特征在于,形成所述导电层的工艺步骤包括:形成填充满所述开口的导电层,所述导电层还位于介质层表面;去除高于介质层表面的导电层。
7.根据权利要求6所述的半导体结构的形成方法,其特征在于,在去除所述高于介质层表面的导电层之后,对所述介质层进行第二次预修复照射处理。
8.根据权利要求6所述的半导体结构的形成方法,其特征在于,采用多道研磨工艺,去除所述高于介质层表面的导电层;且在每一次研磨工艺之后,对所述介质层进行一次第二次预修复照射处理。
9.根据权利要求7或8所述的半导体结构的形成方法,其特征在于,在进行所述第二次预修复照射处理后,介质层表面的接触角变大,介质层的疏水性增强。
10.根据权利要求9所述的半导体结构的形成方法,其特征在于,所述第二次预修复照射处理采用的波长为200纳米至1000纳米。
11.根据权利要求1所述的半导体结构的形成方法,其特征在于,在形成所述开口之前、进行预修复照射处理之后,还包括步骤:采用含氮等离子体对所述开口进行刻蚀后处理。
12.根据权利要求1所述的半导体结构的形成方法,其特征在于,形成所述开口的工艺步骤包括:在所述介质层表面形成第一掩膜层,所述第一掩膜层内形成有暴露出部分介质层表面的第一凹槽;然后形成覆盖于第一掩膜层表面以及介质层表面的第二掩膜层,所述第二掩膜层内形成有第二凹槽,且所述第二凹槽尺寸小于第一凹槽尺寸;以所述第二掩膜层为掩膜,刻蚀去除部分厚度的介质层;去除所述第二掩膜层;然后以所述第一掩膜层为掩膜,继续刻蚀所述介质层,直至暴露出底层金属层表面,形成所述开口。
13.根据权利要求12所述的半导体结构的形成方法,其特征在于,所述第一掩膜层的材料为SiN、SiC、SiCN、Ta、Ti、Tu、TaN、TuN或WN。
14.根据权利要求12所述的半导体结构的形成方法,其特征在于,所述第二掩膜层包括有机分布层、位于有机分布层表面的底部抗反射涂层、以及位于底部抗反射涂层表面的光刻胶层。
15.根据权利要求1所述的半导体结构的形成方法,其特征在于,所述介质层的材料为SiO2、低k介质材料或超低k介质材料。
16.根据权利要求1所述的半导体结构的形成方法,其特征在于,在形成所述开口之前,还包括步骤:在所述介质层表面形成钝化层。
17.根据权利要求1所述的半导体结构的形成方法,其特征在于,在所述基底和介质层之间形成有刻蚀停止层。
18.根据权利要求1所述的半导体结构的形成方法,其特征在于,所述导电层包括:位于开口底部和侧壁表面的导电阻挡层、以及位于导电阻挡层表面且填充满开口的导电体层。
CN201410707629.XA 2014-11-27 2014-11-27 半导体结构的形成方法 Pending CN105702619A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410707629.XA CN105702619A (zh) 2014-11-27 2014-11-27 半导体结构的形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410707629.XA CN105702619A (zh) 2014-11-27 2014-11-27 半导体结构的形成方法

Publications (1)

Publication Number Publication Date
CN105702619A true CN105702619A (zh) 2016-06-22

Family

ID=56230419

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410707629.XA Pending CN105702619A (zh) 2014-11-27 2014-11-27 半导体结构的形成方法

Country Status (1)

Country Link
CN (1) CN105702619A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107619019A (zh) * 2016-07-15 2018-01-23 中芯国际集成电路制造(上海)有限公司 一种mems器件及其制造方法和电子装置
CN111892015A (zh) * 2020-07-15 2020-11-06 杭州见闻录科技有限公司 一种mems器件的晶圆级封装方法和封装结构
CN112899615A (zh) * 2019-11-19 2021-06-04 长鑫存储技术有限公司 膜层的形成方法及半导体器件的制备方法
CN113517401A (zh) * 2021-09-13 2021-10-19 广州粤芯半导体技术有限公司 金属电容结构及其制备方法
CN113539944A (zh) * 2020-04-16 2021-10-22 长鑫存储技术有限公司 半导体结构及其形成方法和半导体器件

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
CN1469452A (zh) * 2002-06-05 2004-01-21 三星电子株式会社 在金属间介电层构成图形的方法
CN1832128A (zh) * 2005-02-22 2006-09-13 国际商业机器公司 制造互连结构的方法及由其制造的互连结构
CN101202227A (zh) * 2006-11-21 2008-06-18 应用材料股份有限公司 最小化湿法蚀刻底切度并提供极低k值(k<2.5)电介质封孔的方法
TW200915483A (en) * 2007-06-15 2009-04-01 Tokyo Electron Ltd Method for recovering damage of low-dielectric constant insulating film and method for producing semiconductor device
CN102446815A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 形成互连沟槽以及通孔的方法及形成互连结构的方法
CN103608898A (zh) * 2011-06-28 2014-02-26 应用材料公司 藉由紫外线辅助的光化学沉积而对等离子体损坏的低介电常数薄膜的介电恢复

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
CN1469452A (zh) * 2002-06-05 2004-01-21 三星电子株式会社 在金属间介电层构成图形的方法
CN1832128A (zh) * 2005-02-22 2006-09-13 国际商业机器公司 制造互连结构的方法及由其制造的互连结构
CN101202227A (zh) * 2006-11-21 2008-06-18 应用材料股份有限公司 最小化湿法蚀刻底切度并提供极低k值(k<2.5)电介质封孔的方法
TW200915483A (en) * 2007-06-15 2009-04-01 Tokyo Electron Ltd Method for recovering damage of low-dielectric constant insulating film and method for producing semiconductor device
CN102446815A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 形成互连沟槽以及通孔的方法及形成互连结构的方法
CN103608898A (zh) * 2011-06-28 2014-02-26 应用材料公司 藉由紫外线辅助的光化学沉积而对等离子体损坏的低介电常数薄膜的介电恢复

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107619019A (zh) * 2016-07-15 2018-01-23 中芯国际集成电路制造(上海)有限公司 一种mems器件及其制造方法和电子装置
CN112899615A (zh) * 2019-11-19 2021-06-04 长鑫存储技术有限公司 膜层的形成方法及半导体器件的制备方法
CN112899615B (zh) * 2019-11-19 2023-02-21 长鑫存储技术有限公司 膜层的形成方法及半导体器件的制备方法
CN113539944A (zh) * 2020-04-16 2021-10-22 长鑫存储技术有限公司 半导体结构及其形成方法和半导体器件
CN113539944B (zh) * 2020-04-16 2023-09-12 长鑫存储技术有限公司 半导体结构及其形成方法和半导体器件
CN111892015A (zh) * 2020-07-15 2020-11-06 杭州见闻录科技有限公司 一种mems器件的晶圆级封装方法和封装结构
CN113517401A (zh) * 2021-09-13 2021-10-19 广州粤芯半导体技术有限公司 金属电容结构及其制备方法
CN113517401B (zh) * 2021-09-13 2021-12-17 广州粤芯半导体技术有限公司 金属电容结构及其制备方法

Similar Documents

Publication Publication Date Title
US6372665B1 (en) Method for forming a semiconductor device
US8791013B2 (en) Pattern forming method
US7803713B2 (en) Method for fabricating air gap for semiconductor device
US20100176513A1 (en) Structure and method of forming metal interconnect structures in ultra low-k dielectrics
US20100130001A1 (en) Semiconductor device and manufacturing method thereof
US9613880B2 (en) Semiconductor structure and fabrication method thereof
US7598168B2 (en) Method of fabricating dual damascene interconnection and etchant for stripping sacrificial layer
KR20130092884A (ko) 반도체 소자의 배선 구조체 및 제조 방법
CN105702619A (zh) 半导体结构的形成方法
US11183422B2 (en) Semiconductor structure and method for manufacturing the same
CN104347488B (zh) 互连结构的形成方法
US8187966B2 (en) Manufacturing method for semiconductor integrated circuit device
US20220384254A1 (en) Metal interconnect structure and method for fabricating the same
US9589890B2 (en) Method for interconnect scheme
CN106409752B (zh) 半导体结构的形成方法
CN106206408A (zh) 半导体结构的形成方法
CN106409751A (zh) 半导体结构的形成方法
CN106356330A (zh) 半导体结构的形成方法
CN104752318A (zh) 半导体器件的形成方法
US11532513B2 (en) Semiconductor structure and fabrication method thereof
US11456207B2 (en) Semiconductor device including metal interconnections having sidewall spacers thereon, and method for fabricating the same
TWI509740B (zh) 雙鑲嵌製程
US8772936B2 (en) Semiconductor device with a copper line and method for manufacturing the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20160622