CN104838476B - 用于提供等离子体至处理腔室的装置 - Google Patents

用于提供等离子体至处理腔室的装置 Download PDF

Info

Publication number
CN104838476B
CN104838476B CN201380063572.8A CN201380063572A CN104838476B CN 104838476 B CN104838476 B CN 104838476B CN 201380063572 A CN201380063572 A CN 201380063572A CN 104838476 B CN104838476 B CN 104838476B
Authority
CN
China
Prior art keywords
earth plate
gas
air inlet
coupled
passage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380063572.8A
Other languages
English (en)
Other versions
CN104838476A (zh
Inventor
建德·高
海曼·W·H·拉姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104838476A publication Critical patent/CN104838476A/zh
Application granted granted Critical
Publication of CN104838476B publication Critical patent/CN104838476B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

用于提供等离子体至处理腔室的装置可包含:电极;第一接地板,所述第一接地板设置于所述电极下方且定义出介于所述电极与所述第一接地板之间的空腔;电性绝缘体,所述电性绝缘体设置于所述电极与所述第一接地板之间以避免所述电极直接接触于所述第一接地板;第二接地板,所述第二接地板设置于所述第一接地板下方且定义出第一通道;多个第一通孔,所述多个第一通孔设置成通过所述第一接地板以流体性地耦接所述通道与所述空腔;第一气体进气口,所述第一气体进气口耦接至所述第一通道;第三接地板,所述第三接地板设置于所述第二接地板下方且定义出第二通道;多个导管,所述导管设置成通过所述接地板以将所述空腔流体性地耦接至位于所述第三接地板下方的区域;多个气体出气口孔,所述多个气体出气口孔通过所述第三接地板以将所述第二通道流体性地耦接至所述第三接地板下方的所述区域;以及第二气体进气口,所述第二气体进气口耦接至所述第二通道。

Description

用于提供等离子体至处理腔室的装置
领域
本发明的实施例一般而言涉及半导体处理设备。
背景
常规的基板处理腔室通常使用具有一或多个电极的等离子体源,所述电极经配置以从处理气体形成等离子体。常规的等离子体源在处理气体以及/或等离子体分布于所述处理腔室之前通常会将处理气体以及/或等离子体混合。然而,发明人已观察到这样将处理气体混合会使等离子体源不兼容于需要处理气体能单独且独立地提供至处理腔室的沉积工艺,例如循环沉积工艺,举例而言,原子层沉积(ALD)。
因此,发明人提供用于提供等离子体至处理腔室的经改良装置的实施例。
发明内容
本发明提供用于提供等离子体至处理腔室的装置的实施例。在一些实施例中,一种用于提供等离子体至处理腔室的装置可包含:电极;第一接地板,所述第一接地板设置于所述电极下方且与所述电极相间隔以定义出介于所述电极与所述第一接地板之间的空腔;电性绝缘体,所述电性绝缘体设置于所述电极与所述第一接地板之间以避免所述电极直接接触于所述第一接地板;第二接地板,所述第二接地板设置于所述第一接地板下方且与所述第一接地板相间隔以定义出介于所述第一接地板与所述第二接地板之间的第一通道;多个第一通孔,所述多个第一通孔设置成通过所述第一接地板以将所述第一通道流体性地耦接至所述空腔;第一气体进气口,所述第一气体进气口耦接至所述第一通道;第三接地板,所述第三接地板设置于所述第二接地板下方且与第二接地板相间隔以定义出介于所述第二接地板与所述第三接地板之间的第二通道;多个导管,所述多个导管设置成通过所述第一接地板、所述第二接地板,以及所述第三接地板以将所述空腔流体性地耦接至位于所述第三接地板下方的区域;多个气体出气口孔,所述多个气体出气口孔设置成通过所述第三接地板以将所述第二通道流体性地耦接至所述第三接地板下方的所述区域;以及第二气体进气口,所述第二气体进气口耦接至所述第二通道。
在一些实施例中,处理腔室盖具有整合装置,所述整合装置用于提供等离子体至所述处理腔室,其中用于提供等离子体的整合装置可包含:电极;第一接地板,所述第一接地板设置于所述电极下方且与所述电极相间隔以定义出介于所述电极与所述第一接地板之间的空腔;电性绝缘体,所述电性绝缘体设置于所述电极与所述第一接地板之间以避免所述电极直接接触于所述第一接地板;第二接地板,所述第二接地板设置于所述第一接地板下方且与所述第一接地板相间隔以定义出介于所述第一接地板与所述第二接地板之间的第一通道;多个第一通孔,所述多个第一通孔设置成通过所述第一接地板以将所述第一通道流体性地耦接至所述空腔;第一气体进气口,所述第一气体进气口耦接至所述第一通道;第一出气口,所述第一出气口耦接至所述第一通道;第三接地板,所述第三接地板设置于所述第二接地板下方且与第二接地板相间隔以形成介于所述第二接地板与所述第三接地板之间的第二通道;多个导管,所述多个导管设置成通过所述第一接地板、所述第二接地板,以及所述第三接地板以将所述空腔流体性地耦接至位于所述第三接地板下方的区域;多个气体出气口孔,所述气体出气口孔设置成通过所述第三接地板以将所述第二通道流体性地耦接至所述第三接地板下方的所述区域;以及第二气体进气口,所述第二气体进气口耦接至所述第二通道;第二出气口,所述第二出气口耦接至所述第二通道;以及以下至少一个:环,所述环设置于所述第一通道内且定义出介于所述多个通孔与所述第一气体进气口之间的气室,其中所述环具有多个通孔,所述多个通孔将所述气室流体性地耦接于所述多个通孔;或环,所述环设置于所述第二通道内且定义出介于所述多个气体出气口孔与所述第二气体进气口之间的气室,其中所述环具有多个通孔,所述多个通孔将所述气室流体性地耦接于所述多个气体出气口孔。
本发明的其它与进一步实施例则叙述于下。
附图简单说明
通过参照所附图中描绘的本发明的例示实施例,可了解在下面更详细讨论且简短总结于上的本发明的实施例。但是,注意到,附图只例示本发明的一般实施例且因此不视为限制本发明的范围,因为本发明可容许其它等效实施例。
图1图示了根据本发明的一些实施例的用于提供等离子体至处理腔室的装置的示意性侧视图。
图2图示了根据本发明的一些实施例的用于提供等离子体至处理腔室的装置的示意性侧视图。
图3图示了根据本发明的一些实施例的用于提供等离子体至处理腔室的装置的示意性上视图。
图4图示了根据本发明的一些实施例的适于与用于提供等离子体至处理腔室的装置一起使用的处理腔室。
为了促进理解,已经在任何可能的地方使用相同的元件符号来表示图中共同的相同元件。图未依照尺寸绘制,且可以为了清楚加以简化。可理解到,一个实施例的元件与特征可有利地并入在其它实施例中,而不用另外详述。
具体描述
本发明提供用于提供等离子体至处理腔室的装置的实施例,所述处理腔室可有助于气体以及/或等离子体单独且独立地供应至处理腔室。
参照图1,在一些实施例中,用于提供等离子体至处理腔室的装置(等离子体源100)通常可包含电极102、设置于所述电极102下方且与所述电极102间隔的第一接地板104、设置于所述第一接地板104下方的第二接地板105,以及设置于所述第二接地板104下方的第三接地板106。第一接地板104、第二接地板105,以及第三接地板106耦接于接地,例如,共接地136,直接或间接耦接共接地136(例如经由通过其它接地元件耦接)。空腔108设置于电极102与第一接地板104之间。第一通道设置于第一接地板104与第二接地板105之间。第二通道164设置于第二接地板105与第三接地板106之间。
第一接地板104、第二接地板105、第三接地板106以及电极102可由任何与工艺兼容的导电材料来制造。举例而言,在一些实施例中,第一接地板104、第二接地板105、第三接地板106,以及电极102可由金属或金属合金来制造,举例而言,如铝、涂覆镍的铝、钢、不锈钢、铁、镍、铬、上述材料的合金、上述材料的组合或类似物。第一接地板104、第二接地板105、第三接地板106以及电极102中的每一个可由相同材料来制造,或在一些实施例中,以不同材料来制造。
电容耦合的等离子体空腔被整合于等离子体源100内且被置于等离子体源100顶部。电容耦合的等离子体空腔由顶电极(如阳极)以及底电极(如阴极)所形成,且由绝缘间隔物所间隔开,所述绝缘间隔物如陶瓷间隔物。举例而言,如图1所图示,电性绝缘体110(如绝缘间隔物)被设置于电极102与第一接地板104之间以在电极102与第一接地板104之间形成空腔108。电性绝缘体110可为设置于电极102与第一接地板104的外围之间的环,以定义出空腔108且防止气体从空腔108沿电极102以及第一接地板104的边缘泄漏出来。一或多个与工艺兼容的密封件(未示),如O形环或其它衬垫材料,可设置于电性绝缘体110与电极102以及/或第一接地板104之间。空腔108提供这样的空腔,在所述空腔内第一气体(如第一处理气体)可被提供以允许气体点燃以形成等离子体。电性绝缘体110使电极102与第一接地板104电性地隔离。电性绝缘体110可由任何与工艺兼容的电性绝缘材料来制造,举例而言,如石英(SiO2)、烧结的陶瓷,如氧化铝(Al2O3)或氮化硅(SiN),或者单晶蓝宝石(Al2O3)。
在一些实施例中,电源134可耦接于电极102以提供功率至电极102以有助于气体的点燃以形成等离子体。电源134可为任何类型的适于提供足够功率以点燃气体的电源,举例而言如直流、交流,或射频电源或类似物。在一些实施例中,电源134可为交流电源而提供约50赫兹(Hz)至约300千兆赫兹(GHz)频率范围内的频率的功率。在一些实施例中,电源134可操作于连续或脉冲模式。发明人观察到在第一接地板104、第二接地板105,以及第三接地板106的上方提供电极102会使得将电源134耦接至与电极102中心158邻近的电极更为容易。与将电源耦接至电极的边缘相比,将电源134耦接至与电极102中心邻近的电极提供了跨整个电极102的更对称与均匀的功率分布。
第一通道148设置于第一接地板104与第二接地板105之间。举例而言,在一些实施例中,间隔物107可被提供于第一接地板104与第二接地板105之间以定义出第一通道148。间隔物107可为设置于第一接地板104与第二接地板105的外围之间的环以定义出第一通道148且防止气体从第一通道148沿着第一接地板104与第二接地板105的边缘泄漏。间隔物107可为第一接地板104或第二接地板105的整合部,或可为单独部件。一或多个与工艺兼容的密封件(未示),如O形环或其它衬垫材料,可被设置于间隔物107与第一接地板104及/或第二接地板105之间。
第一通道148可包含第一气体进气口154以允许将第一气体例如从气体供应(所示的第一气体供应120与第二气体供应132)提供至第一通道148。发明人观察到因为第一接地板104与第二接地板105和电极102电性隔离且接地,位于第一气体进气口154的电势会大大减少或消除,由此减少或消除不希望的潜在电弧以及/或寄生等离子体形成于第一气体进气口154附近。通过消除电弧与寄生等离子体的形成,等离子体引起的对等离子体源的损坏与颗粒的形成可有利地被减少或消除。
阀126被设置于第一气体供应120与第一气体进气口154之间,且阀128被设置于第二气体供应132与第一气体进气口154之间。阀126、128允许气体从第一气体供应120与第二气体供应132中的每一个选择性地被提供至第一通道148。第一气体供应120与第二气体供应132中的每一个可被配置以提供任何类型的适于执行所期望的工艺的气体。举例而言,在一些实施例中,第一气体供应120可配置成提供待被形成等离子体的反应物气体,且第二气体供应132可被配置成提供,举例而言,净化气体或稀释气体。
在一些实施例中,第一通道148可包含第一出气口160以允许通过例如真空泵118来抽空第一通道148。在一些实施例中,阀114可设置于第一出气口160与真空泵118之间以允许第一通道148选择性地被密封且与真空泵隔离。在一些实施例中,第一出气口160与真空泵118可被使用于净化第一通道148。举例而言,在经由第一出气口160而从真空泵118提供真空力时,来自气体供应(如第二气体供应132)的惰性气体可经由第一气体进气口154而被提供至第一通道148,由此从进气口提供惰性气体气流至第一出气口160且所述惰性气体气流通过第一通道148以有助于净化第一通道148。
多个第一通孔152可设置成通过第一接地板104的一部分而将第一通道148流体性地耦接于空腔108。多个第一通孔152有助于将来自第一气体供应120或第二气体供应132的一或多种气体从第一通道148输送至空腔108。因此,所述通道为封闭容积,所述封闭容积直接地、流体性地且仅仅耦接于空腔108且选择性地耦接于一或多种气体供应(如第一气体供应120或第二气体供应132),以及,可选地耦接于真空源(如真空泵118)。
多个第二通孔142可设置成通过第一接地板104的一部分而将空腔108流体性地耦接于第三接地板106下方的区域(处理容积149)。多个导管150设置于第一接地板104与第三接地板106之间以将多个第二通孔142耦接于第三接地板106的多个第一气体出气口孔138,以有助于气体以及/或等离子体在处理容积149的分布。
在一些实施例中,多个导管150可形成于第二接地板105内。可替换地,在一些实施例中,所述多个导管150中的每一个可为耦接于或设置成通过第一接地板104的一部分的导管且可为通过第二接地板105而延伸进入第一通道148内的导管,且可为延伸进入第二通道164(于下面讨论)以将多个第二通孔142耦接于第三接地板106的多个第一气体出气口孔138的导管。可替换地,在一些实施例中,多个导管150中的每一个可包含设置于第一通道148内的第一导管111以及设置于第一接地板104与第三接地板106之间的第二导管109。在这样的实施例中,第一导管111以及第二导管109可通过焊接、铜焊,或类似方式耦接于第一地板104以及/或第三接地板106。可替换地,第一导管111可为第一接地板104或第二接地板105的一部分。相似地,第二导管109可为第二接地板105与第三接地板106的一部分。
在示范性操作中,第一气体供应120以及/或第二气体供应132中的一个或两个都可提供一或多种气体至第一通道148。气体通过多个第一通孔152向上流进空腔108。射频能量由射频功率源(电源134)来提供且通过电极102而被耦接至气体以形成等离子体。等离子体中产生的受激发物种(或自由基)接着通过多个第二通孔142、多个导管150以及第三接地板106的多个第一气体出气口孔138而从空腔108流至处理容积149。
在一些实施例中,电极102或第一接地板104任一个或两个都可包含沿着面向等离子体空腔(如空腔108)的表面均匀分布的圆锥形微型腔。举例而言,在一些实施例中,多个第二通孔142可具有一或多种圆锥形。举例而言,在一些实施例中,多个第二通孔142中的每一个可包含具有圆锥形的上部146,其中圆锥形的底面144(即,具有较长直径的部位)面向空腔108。发明人观察到圆锥形的多个第二通孔142可有助于均匀地点燃气体,由此产生均匀等离子体。在一些实施例中,圆锥形的多个第二通孔142可抵消例如因空腔108的不均匀尺寸所致的等离子体点燃的不一致性,而空腔108的不均匀尺寸是由于第一接地板104不平行于电极102所致。再者,发明人观察到圆锥形的多个第二通孔142可有助于点燃更高的等离子体密度,由此在等离子体内产生更多的自由基。
可替换地,或结合地,在一些实施例中,电极102可包含多个圆锥形凹槽202,圆锥形凹槽202设置于电极102的面内表面204,举例而言,例如图2所示。圆锥形凹槽202通常设置于与多个第二通孔142或多个导管150相对的位置。如果圆锥形凹槽202存在时,圆锥形凹槽202会如上述执行如圆锥形的多个第二通孔142相同的功能。在电极102包含圆锥形凹槽202的一些实施例中,第一接地板的多个第二通孔142可为圆柱形,例如图2所示。
常规的等离子体源在气体以及/或等离子体分布于所述处理腔室之前通常会将处理气体以及/或等离子体混合。这样的气体混合会使等离子体源不兼容于需要气体能单独且独立地提供至处理腔室的沉积处理,例如循环沉积工艺,举例而言,原子层沉积(ALD)。因此参照返回图1,在一些实施例中,等离子体源100可包含设置于第二接地板105与第三接地板106之间的间隔物112以在第二接地板105与第三接地板106之间形成第二通道164。间隔物112可为设置于第二接地板105与第三接地板106的外围之间的环,以定义出第二通道164且防止气体从第二通道164沿着第二接地板105与第三接地板106的边缘泄漏。间隔物112可为第二接地板105或第三接地板106的整合部,或间隔物112可为单独部件。一或多个与工艺兼容的密封件(未示),如O形环或其它衬垫材料,可被设置于间隔物112与第二接地板105及/或第三接地板106之间。
第三接地板106包含多个第二气体出气口孔140,第二气体出气口孔140将第二通道168流体耦接于处理容积149。如果第二通道164与多个第二气体出气口孔140存在时,第二通道164与多个第二气体出气口孔140会允许第二气体(如第二处理气体)气流流至处理容积149,处理容积149通过空腔108而单独且独立于第一气体气流。通过提供独立的气体气流通过空腔108以及第二通道164,在到达处理容积149之前(如在等离子体源100内),多种气体可被单独地提供至处理容积149且无混合以及/或反应,由此允许等离子体源被使用于需要单独或独立地提供气体至处理腔室的沉积工艺,例如循环沉积工艺,举例而言,原子层沉积(ALD)。再者,提供至第二通道164的气体有利地在无充分供能下形成等离子体,由此通过允许等离子体与非等离子体物种混合于处理容积内而提供处理上的灵活性。
间隔物112可由与工艺兼容的任何材料所制造,举例而言,导电材料、绝缘材料,或类似物。在一些实施例中,间隔物112为置于第二接地板105与第三接地板106之间的单独部件。可替代地,在一些实施例中,间隔物112可为第二接地板105或第三接地板106的一部分且从第二接地板105或第三接地板106表面延伸。
在一些实施例中,第二通道164包含第二气体进气口156以允许将第二气体从,如气体供应(第二气体供应132与第三气体供应122),提供至第二通道164。在一些实施例中,阀124、130可设置于第二气体供应132与第二气体进气口156之间以及第三气体供应122与第二气体进气口156之间以允许来自第二气体供应132与第三气体供应122中的每一个的气体选择性地被提供至第二通道164。第二气体供应132与第三气体供应122中的每一个可被配置成提供适于执行所期望的工艺的任何类型的气体。举例而言,在一些实施例中,第三气体供应122可被配置成提供前驱物气体且第二气体供应132可被配置成提供,例如,净化气体或稀释液气体至第二通道164。
在一些实施例中,第二通道164可包含第二出气口162以允许通过例如真空泵118来抽空第二通道164。在一些实施例中,阀116可设置于第二出气口162与真空泵118之间以允许第二通道164选择性地被密封且与真空泵118隔离。在一些实施例中,第二出气口162与真空泵118可被使用于净化第二通道164,举例而言,例如上述关于净化第一通道148的内容。
参照图3,在一些实施例中,第一通道148或第二通道164中的每一个可包含分布于等离子体源100附近的多个进气口与多个出气口(如第一气体进气口154与第二气体进气口156,以及第一出气口160与第二出气口162),以有助于均匀地分布气体且完整地净化第一通道148或第二通道164。举例而言,在一些实施例中,第二通道164可耦接于多个进气口302A-F以及多个出气口306A-F,如图3所示。在这样的实施例中,多个进气口302A-F以及多个出气口306A-F中的每一个可提供阀304A-F、308A-F以有助于多个进气口302A-F以及多个出气口306A-F每一个的选择性的操作。在一些实施例中,具有多个通孔314的环312可设置于第二通道164之内且设置于第一气体出气口孔138与第二气体出气口孔140附近。环312将第二通道164分隔成内部分与外部分,内部分包含第二气体出气口孔140且外部分将气室310形成于环312与具有进气口与出气口(如第一进气口154与第一出气口160或第二进气口156与第二出气口162)的等离子体源100的外壁之间(如间隔物112)。举例而言,设置于第二通道164内的环312在多个第二气体出气口孔140与第二气体进气口156之间定义出气室310。环310具有多个通孔314,或通路,所述多个通孔314,或通路将气室310流体性地耦接至多个第二气体出气口孔140。
环312所提供的气室310通过多个进气口302A-F以及多个出气口306A-F有助于将气体均匀地分布以及/或移除气体。第二通道164具有多个进气口302A-F、多个出气口306A-F以及环312三者中的每一者的各一组,尽管只有第二通道164图标于图3中,如上述所讨论地,第一通道148可相似地包含多个进气口、多个出气口以及环。举例而言,环可设置于第一通道148中以在多个第一通孔152与第一气体进气口154之间定义出气室,其中环具有多个通孔,所述多个通孔将气室流体性地耦接至多个第一通孔152。
等离子体源100可为独立操作装置,所述装置被配置成产生随后会被提供至处理腔室的等离子体(如远程等离子体源),或在一些实施例中,等离子体源100可被整合为处理腔室。举例而言,等离子体源100可被整合为处理腔室盖,举例而言如图4所示。
参照图4,处理腔室400可为适于等离子体增强型半导体处理的任何处理腔室,举例而言,如配置成执行等离子体辅助化学气相沉积(CVD)或原子层沉积(ALD)工艺的处理腔室。示范性处理腔室可包含工作台处理腔室,或其它处理腔室,皆可向美国加州圣克拉拉市的应用材料公司(Applied Materials,Inc.of Santa Clara,Calif.)取得。其它适合的处理腔室可相似地被使用。
在一些实施例中,处理腔室400一般而言可包含腔室主体410以及设置于腔室主体410内的基板支撑件412。在一些实施例中,本发明的等离子体源100设置于腔室主体顶上且与腔室盖或腔室盖的一部分整合在一起,或如腔室盖或腔室盖的一部分一样作用。
基板支撑件412配置成在由腔室主体410与等离子体源100以及/或处理腔室盖所定义出的处理容积422中支撑一或多个基板416。在一些实施例中,基板支撑件412可包含加热器420以及/或流体冷却通道(未示),流体冷却通道适于将一或多个基板416的温度控制于执行工艺所需的温度。
在一些实施例中,处理腔室400包含真空泵480以抽空处理容积422以获得以及/或维持处理容积422内的所期望的压力。在处理过程中,真空泵480在处理容积422内提供相对于等离子体源100的第二通道164的负压,因此允许第二通道164内的物种流至处理容积422。
因此,用于提供等离子体至处理腔室的装置的实施例可有利地允许多个气体以及/或等离子体被单独地与独立地提供至处理腔室。
虽然前述是关于本发明的实施例,本发明的其它与进一步实施例可被设想出而无偏离本发明的基本范围。

Claims (15)

1.一种用于提供等离子体至处理腔室的装置,所述装置包含:
电极;
第一接地板,所述第一接地板设置于所述电极下方且与所述电极相间隔以定义出介于所述电极与所述第一接地板之间的空腔;
电性绝缘体,所述电性绝缘体设置于所述电极与所述第一接地板之间以避免所述电极直接接触于所述第一接地板;
第二接地板,所述第二接地板设置于所述第一接地板下方且与所述第一接地板相间隔以定义出介于所述第一接地板与所述第二接地板之间的第一通道;
多个第一通孔,所述多个第一通孔设置成通过所述第一接地板以将所述第一通道流体性地耦接至所述空腔;
第一气体进气口,所述第一气体进气口耦接至所述第一通道;
第三接地板,所述第三接地板设置于所述第二接地板下方且与第二接地板相间隔以定义出介于所述第二接地板与所述第三接地板之间的第二通道;
多个导管,所述导管设置成通过所述第一接地板、所述第二接地板,以及所述第三接地板而将多个第二通孔耦接于多个第一气体出气口孔以将所述空腔流体性地耦接至位于所述第三接地板下方的区域,其中所述多个第二通孔设置成通过所述第一接地板,且所述多个第一气体出气口孔设置成通过所述第三接地板;
多个第二气体出气口孔,所述第二气体出气口孔设置成通过所述第三接地板而将所述第二通道流体性地耦接至所述第三接地板下方的所述区域;以及
第二气体进气口,所述第二气体进气口耦接至所述第二通道。
2.如权利要求1所述的装置,其中所述第一接地板、所述第二接地板,以及所述第三接地板电性耦接于接地。
3.如权利要求1所述的装置,其中所述装置经整合而成为处理腔室的盖。
4.如权利要求1~3的任一项所述的装置,其中所述第一接地板的所述多个第二通孔呈圆锥形。
5.如权利要求1~3的任一项所述的装置,其中面向所述空腔的所述电极的表面具有多个圆锥形凹槽,所述凹槽位于所述多个导管的对面。
6.如权利要求1~3的任一项所述的装置,所述装置还包含:
第一气体供应,所述第一气体供应耦接于所述第一气体进气口以提供第一气体至所述第一通道;以及
第二气体供应,所述第二气体供应耦接于所述第一气体进气口或所述第二气体进气口的至少一个以提供第二气体至所述第一通道或所述第二通道的至少一个。
7.如权利要求1~3的任一项所述的装置,所述装置还包含:
第三气体供应,所述第三气体供应耦接于所述第二气体进气口以提供第三气体至所述第二通道。
8.如权利要求1~3的任一项所述的装置,所述装置还包含:
第一出气口,所述第一出气口耦接于所述第一通道以抽空所述第一通道;以及
第二出气口,所述第二出气口耦接于所述第二通道以抽空所述第二通道。
9.如权利要求8所述的装置,其中所述第一出气口以及所述第二出气口耦接于真空泵。
10.如权利要求8所述的装置,其中所述第一出气口包含多个第一出气口且所述第二出气口包含多个第二出气口。
11.如权利要求1~3的任一项所述的装置,所述装置还包含以下至少一个:
第一环,所述第一环设置于所述第一通道内且定义出介于所述多个第一通孔与所述第一气体进气口之间的第一气室,其中所述第一环具有多个通孔,所述多个通孔将所述第一气室流体性地耦接于所述多个第一通孔;或
第二环,所述第二环设置于所述第二通道内且定义出介于所述多个第二气体出气口孔与所述第二气体进气口之间的第二气室,其中所述第二环具有多个通孔,所述多个通孔将所述第二气室流体性地耦接于所述多个第二气体出气口孔。
12.如权利要求1~3的任一项所述的装置,所述装置还包含:
多个第一进气口,所述多个第一进气口耦接于所述第一通道;以及
多个第二进气口,所述多个第二进气口耦接于所述第二通道。
13.一种处理腔室盖,所述处理腔室盖具有整合装置,所述整合装置用于提供等离子体至处理腔室,所述处理腔室盖包含:
电极;
第一接地板,所述第一接地板设置于所述电极下方且与所述电极相间隔以定义出介于所述电极与所述第一接地板之间的空腔;
电性绝缘体,所述电性绝缘体设置于所述电极与所述第一接地板之间以避免所述电极直接接触于所述第一接地板;
第二接地板,所述第二接地板设置于所述第一接地板下方且与所述第一接地板相间隔以定义出介于所述第一接地板与所述第二接地板之间的第一通道;
多个第一通孔,所述多个第一通孔设置成通过所述第一接地板以将所述第一通道流体性地耦接至所述空腔;
第一气体进气口,所述第一气体进气口耦接至所述第一通道;
第一出气口,所述第一出气口耦接至所述第一通道;
第三接地板,所述第三接地板设置于所述第二接地板下方且与第二接地板相间隔以形成介于所述第二接地板与所述第三接地板之间的第二通道;
多个导管,所述多个导管设置成通过所述第一接地板、所述第二接地板和所述第三接地板而将多个第二通孔耦接于多个第一气体出气口孔,以将所述空腔流体性地耦接至位于所述第三接地板下方的区域,其中所述多个第二通孔设置成通过所述第一接地板,且所述多个第一气体出气口孔设置成通过所述第三接地板;
多个第二气体出气口孔,所述多个第二气体出气口孔设置成通过所述第三接地板而将所述第二通道流体性地耦接至所述第三接地板下方的所述区域;
第二气体进气口,所述第二气体进气口耦接至所述第二通道;
第二出气口,所述第二出气口耦接至所述第二通道;以及以下至少一个:
第一环,所述第一环设置于所述第一通道内且定义出介于所述多个第一通孔与所述第一气体进气口之间的第一气室,其中所述第一环具有多个通孔,所述多个通孔将所述第一气室流体性地耦接于所述多个第一通孔;或
第二环,所述第二环设置于所述第二通道内且定义出介于所述多个第二气体出气口孔与所述第二气体进气口之间的第二气室,其中所述第二环具有多个通孔,所述多个通孔将所述第二气室流体性地耦接于所述多个第二气体出气口孔。
14.如权利要求13所述的处理腔室盖,其中以下至少一个:
所述第一接地板的所述多个第二通孔呈圆锥形;或者
面向所述空腔的所述电极的表面具有多个圆锥形凹槽,所述凹槽位于所述多个导管的对面。
15.如权利要求13~14的任一项所述的处理腔室盖,所述处理腔室盖还包含:
多个第一气体进气口,所述第一气体进气口耦接至所述第一通道;
多个第一出气口,所述第一出气口耦接至所述第一通道;
多个第二气体进气口,所述第二气体进气口耦接至所述第二通道;以及
多个第二出气口,所述第二出气口耦接至所述第二通道。
CN201380063572.8A 2012-12-14 2013-11-27 用于提供等离子体至处理腔室的装置 Active CN104838476B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/715,295 US9982343B2 (en) 2012-12-14 2012-12-14 Apparatus for providing plasma to a process chamber
US13/715,295 2012-12-14
PCT/US2013/072430 WO2014093034A1 (en) 2012-12-14 2013-11-27 Apparatus for providing plasma to a process chamber

Publications (2)

Publication Number Publication Date
CN104838476A CN104838476A (zh) 2015-08-12
CN104838476B true CN104838476B (zh) 2017-12-29

Family

ID=50929459

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380063572.8A Active CN104838476B (zh) 2012-12-14 2013-11-27 用于提供等离子体至处理腔室的装置

Country Status (5)

Country Link
US (1) US9982343B2 (zh)
KR (1) KR102072231B1 (zh)
CN (1) CN104838476B (zh)
TW (1) TWI609985B (zh)
WO (1) WO2014093034A1 (zh)

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) * 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) * 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108431930A (zh) * 2016-01-07 2018-08-21 应用材料公司 具有远程等离子体源和dc电极的原子层蚀刻系统
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11326256B2 (en) * 2018-12-10 2022-05-10 Applied Materials, Inc. Dome stress isolating layer
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11646183B2 (en) * 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102299045A (zh) * 2010-06-23 2011-12-28 周星工程股份有限公司 气体分配装置及包括该气体分配装置的基板处理设备

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US20040194890A1 (en) 2001-09-28 2004-10-07 Tokyo Electron Limited Hybrid plasma processing apparatus
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
JP4393844B2 (ja) * 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
CN102576667A (zh) * 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
KR101118997B1 (ko) 2009-12-02 2012-03-13 주식회사 원익아이피에스 플라즈마 처리 장치 및 방법
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
JP5862027B2 (ja) 2010-03-25 2016-02-16 東レ株式会社 プラズマcvd装置及び薄膜基板の製造方法
US9004006B2 (en) * 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US20120255678A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US20140165911A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Apparatus for providing plasma to a process chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102299045A (zh) * 2010-06-23 2011-12-28 周星工程股份有限公司 气体分配装置及包括该气体分配装置的基板处理设备

Also Published As

Publication number Publication date
KR102072231B1 (ko) 2020-01-31
CN104838476A (zh) 2015-08-12
TWI609985B (zh) 2018-01-01
US20140165912A1 (en) 2014-06-19
US9982343B2 (en) 2018-05-29
WO2014093034A1 (en) 2014-06-19
TW201422842A (zh) 2014-06-16
KR20150094686A (ko) 2015-08-19

Similar Documents

Publication Publication Date Title
CN104838476B (zh) 用于提供等离子体至处理腔室的装置
TWI671792B (zh) 基板處理設備
CN106098527B (zh) 用于形成膜堆叠的双通道喷头
US9793128B2 (en) Plasma processing chamber with dual axial gas injection and exhaust
US9443753B2 (en) Apparatus for controlling the flow of a gas in a process chamber
JP2020107894A (ja) PECVD微結晶シリコンゲルマニウム(SiGe)
JP2019533274A (ja) プラズマ処理チャンバ用プラズマスクリーン
CN109385620A (zh) 具有更均匀的边缘净化的基板支撑件
CN103382551A (zh) 半导体膜形成装置和工艺
TW201813455A (zh) 對稱電漿處理腔室
TW200540292A (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
TWI608517B (zh) 處理腔室與用於提供電漿至處理腔室的裝置
TWI675132B (zh) 用以滿足具有改良之流動不均勻性/氣體傳導性之可變處理容積的對稱腔室本體設計架構
CN109075024A (zh) 微体积沉积腔室
CN113994024A (zh) 用于基板处理腔室的隔离器设备和方法
US11598004B2 (en) Lid assembly apparatus and methods for substrate processing chambers
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
CN113728424A (zh) 具有对于晶片的空间性可调谐rf耦合的静电卡盘
US11555244B2 (en) High temperature dual chamber showerhead
JP2023504829A (ja) 堆積チャンバ用のガス分配セラミックヒータ
JP2023542018A (ja) 再帰的ガスチャネルを備えるシャワーヘッドアセンブリ
TWM627275U (zh) 一種射頻線圈與反應空間彼此獨立的電漿增強式薄膜沉積裝置

Legal Events

Date Code Title Description
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant