CN104573148B - A kind of method of sequential element leakage power consumption in reduction circuit - Google Patents

A kind of method of sequential element leakage power consumption in reduction circuit Download PDF

Info

Publication number
CN104573148B
CN104573148B CN201310485457.1A CN201310485457A CN104573148B CN 104573148 B CN104573148 B CN 104573148B CN 201310485457 A CN201310485457 A CN 201310485457A CN 104573148 B CN104573148 B CN 104573148B
Authority
CN
China
Prior art keywords
sequential
delay
sequential device
leakage power
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310485457.1A
Other languages
Chinese (zh)
Other versions
CN104573148A (en
Inventor
周舒哲
董森华
陈彬
燕昭然
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Empyrean Technology Co Ltd
Original Assignee
Beijing CEC Huada Electronic Design Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing CEC Huada Electronic Design Co Ltd filed Critical Beijing CEC Huada Electronic Design Co Ltd
Priority to CN201310485457.1A priority Critical patent/CN104573148B/en
Publication of CN104573148A publication Critical patent/CN104573148A/en
Application granted granted Critical
Publication of CN104573148B publication Critical patent/CN104573148B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A kind of method of sequential element leakage power consumption in reduction circuit, with the continuous diminution of integrated circuit dimensions, electricity leakage power dissipation ratio in total power consumption is constantly lifted.Clock system is increasingly complicated simultaneously, and sequential device proportion constantly raises, and the electricity leakage power dissipation for effectively reducing sequential device can not be ignored.Using by low threshold voltage(LVT)It is replaced into high threshold voltage(HVT)Technology reduce electricity leakage power dissipation, Major Difficulties are that the temporal constraint of circuit can not be breached.The characteristics of herein according to sequential device, two kinds of Delay Variations are analyzed, one kind is setup constraints, and another kind is time delay of the clock end to output end;The method for establishing contingency table between sequential device is provided, records the information of incidence relation between all sequential devices;The requirement of the constraint of sequential is not violated for optimization circuit, it is proposed that utilize Delay Variation amount(delta)With time delay surplus(slack)Comparison and the method that is filtered to replaceable sequential device of contingency table, reached the purpose for reducing electricity leakage power dissipation.

Description

A kind of method of sequential element leakage power consumption in reduction circuit
Technical field
The method for reducing sequential element leakage power consumption in circuit is that eda tool is not violating the Timing Constraints of circuit Under, by by low threshold voltage(LVT)Sequential device change high threshold voltage into(HVT)Sequential device, to reduce electric leakage work( The method of consumption.The invention belongs to EDA design fields.
Background technology
It is non-to reduce the technology of dynamic power consumption by reducing supply voltage as integrated circuit feature size constantly reduces It is often universal.Meanwhile for the performance of holding circuit, generally from the device of low threshold voltage to realize circuit function.It is however, low The application of the device of threshold voltage so that the ratio accounted in total power consumption of electricity leakage power dissipation is constantly lifted.We can pass through estimation The time delay of circuit, under conditions of temporal constraint is not violated, change the device of a part of low threshold voltage into high threshold voltage Device, with reach reduce electricity leakage power dissipation purpose.
In the case of holding circuit performance, the method that electricity leakage power dissipation is reduced using double Vt technologies has been widely used. On the one hand, the displacement of logical combination device influences smaller on sequential.According to the result of static timing analysis, current device can be learnt The surplus of delay(slack), while the delay difference that displacement occurs can be calculated(delta), so that it is determined that the list can be replaced Member.On the other hand, sequential device ratio shared in former circuit is smaller, and sequential is had a great influence.Therefore, engineer When using double Vt replacement techniques drop electricity leakage power dissipation, can usually neglect sequential device.
Under current process node, circuit function becomes increasingly complex, and clock system is more and more huger, the power consumption of sequential device It can not be ignored in circuit.Two features be present in the displacement of sequential device cell:1)The setup times would generally be influenceed simultaneously Time delay with clock signals to Q points, and the cost of time delay also can be bigger than conventional combination device;2)Can between sequential device Can be interrelated, this causes the estimation of delay to need to consider that can relevant sequential device enter line replacement simultaneously.
Herein it is proposed that a kind of method for reducing sequential element leakage power consumption in circuit:By calculating the setup times The change that change and clock signals postpone to Q points, while the annexation established between sequential device, to ensure sequential device Displacement does not interfere with the temporal constraint of circuit simultaneously.
The content of the invention
The present invention proposes a kind of method for reducing sequential element leakage power consumption in circuit, and this method considers sequential device The delay variation feature of displacement, and consider the incidence relation between sequential device.Above feature is illustrated herein, proposes phase The solution answered, it ensure that to greatest extent while replace sequential device without destroying temporal constraint.
Sequential device is also with regard to trigger(Flip Flop), it is a kind of device for storing data signal, there is input and defeated Go out end, and there is a special input to be used for input clock signal.When received during clock signal, output end just can basis Input signal updates output signal.Between two clock signals, the signal of output end is all without changing.With logical signal Difference be:The output of logical signal is related to current input signal;And the output signal of trigger is and upper one Input signal when clock signal arrives is related.
Fig. 1 is D flip flop, and input signal reaches D ports, and clock signal is reached at triangular marker, output signal Exported from Q or QN ends.D flip flop are rising edge triggerings, i.e., when clock signal is changed into 1 from 0, output end Q signal Can be just opposite with D signal according to the signal update at D ends, QN.
For more preferable calculation delay, generally we can be device setup delay model.Each Time Delay Model, it is generally right Answer a signal paths of device.A NOT gate A- is shown in Fig. 2>Z signal path, and the change rule of the end signal of path two Rule.Due to the particularity of sequential device function, setup delay model would generally be more complicated.Foundation that can not be simple from input to The Time Delay Model of output end, because there is the transmission that clock signal controls data-signal.Generally, we can define two kinds of time delay moulds Type:1)From input to clock end, such as Fig. 3, a setup constraint be present, before referring to that clock signal reaches, data-signal is necessary Ready time-constrain in advance;2)From clock end to output end, such as Fig. 4, this be signal from clock arrive the moment be transferred to it is defeated Go out the Time Delay Model established needed for end.
Using above Time Delay Model, static timing analysis is carried out.Calculate the delay surplus of every kind of device(slack), With the amount of delay needed for displacement parallel operation part(delta)It is compared.Work as delta<During slack, can effectively it be replaced, and Do not violate temporal constraint.
In order to improve efficiency, we would generally batch exchange a collection of unit component for, then carry out the renewal of time delay value.Group Combinational logic circuit can find out the incoherent unit of a collection of sequential by way of topological sorting.Relevance between sequential device It can not be discharged with topological sorting, inter-related situation usually occur, as shown in Figure 5.
A kind of it is proposed that method for establishing sequential device contingency table:First, the annexation based on circuit, by from institute There is sequential device output end Q to be traveled through to next sequential device input D, can obtain all D points there are the Q points of annexation;So Afterwards according to the contingency table of D points, we can analyze the contingency table for all D points for drawing the connection of Q points;Finally the two tables are closed And the contingency table put on all sequential devices can be drawn.
When replacing unit, sequential device can be ranked up by we by this contingency table according to the dimension of association.So Afterwards, the Delay Variation value calculated with reference to Time Delay Model(delta)With already present delay surplus(slack), analyzing delta is It is no to be less than slack values, determine that can active cell be replaced.Meanwhile after current permutation consumes a part of surplus, those passes Whether the sequential device of connection also has enough delay surpluses to enter line replacement, and replaceable set must be excluded from if not enough.
Brief description of the drawings
Fig. 1 D flip flop
The signalling channel and waveform relationship of Fig. 2 NOT gates
The signalling channel and waveform relationship of Fig. 3 setup constraints
Signalling channel and waveform relationship of Fig. 4 clock ends to output end
It is interrelated between Fig. 5 sequential devices
Specific implementation step:
The method that HVT sequential devices are replaced into using LVT carries out electricity leakage power dissipation optimization, and operating process is as follows:
1)Prepare circuit meshwork list, the Lib storehouses of standard block and Sdc files, carry out static timing analysis;
2)The timing unit for being possible to be replaced is found out, and calculates the delay variation needed for displacement(delta);
3)Filter out amount of delay and be more than delay surplus(slack)Unit;
4)The contingency table of sequential device is established, and ascending order arrangement is carried out according to associated apparatus number;
5)Disposable units are chosen in order, while exclude other timing units that selected cell influences whether;
, it is necessary to update delay data after the completion of displacement, follow-up circuit optimization step can proceed with.

Claims (2)

1. a kind of method for reducing sequential element leakage power consumption in circuit, is related to EDA design tools, it is characterised in that described Method comprises the following steps:
(1) establish sequential device input to clock end Time Delay Model and clock end to output end Time Delay Model;
(2) calculate the delay surplus of sequential device and replace the amount of delay needed for device;
(3) by traveling through circuit diagram, contingency table between sequential device is established, sequential device is arranged according to the dimension of association Sequence;
(4) according to sequence, amount of delay and current delay surplus needed for more current sequential device, if amount of delay Less than current delay surplus, then replace current sequential device and consume required delay variation from current delay surplus Amount, otherwise excludes replaceable set by current sequential device;
(5) repeat step (2)-step (4), delay data is updated.
2. the method according to claim 1 for reducing sequential element leakage power consumption in circuit, it is characterised in that step (1) The sequential device input of establishing is that data-signal must carry before defining clock signal arrival to the Time Delay Model of clock end Preceding ready time-constrain;Sequential device clock end is established to the Time Delay Model of output end, is that signal arrives the moment from clock It is transferred to the time delay needed for output end.
CN201310485457.1A 2013-10-17 2013-10-17 A kind of method of sequential element leakage power consumption in reduction circuit Active CN104573148B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310485457.1A CN104573148B (en) 2013-10-17 2013-10-17 A kind of method of sequential element leakage power consumption in reduction circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310485457.1A CN104573148B (en) 2013-10-17 2013-10-17 A kind of method of sequential element leakage power consumption in reduction circuit

Publications (2)

Publication Number Publication Date
CN104573148A CN104573148A (en) 2015-04-29
CN104573148B true CN104573148B (en) 2017-11-14

Family

ID=53089208

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310485457.1A Active CN104573148B (en) 2013-10-17 2013-10-17 A kind of method of sequential element leakage power consumption in reduction circuit

Country Status (1)

Country Link
CN (1) CN104573148B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110956009A (en) * 2018-09-25 2020-04-03 中国科学院微电子研究所 Power consumption optimization method and system for sub-threshold digital circuit
CN112214097B (en) * 2020-10-20 2021-11-05 飞腾信息技术有限公司 Method, device, equipment and storage medium for reducing low threshold unit

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101021882A (en) * 2007-03-27 2007-08-22 重庆重邮信科(集团)股份有限公司 Design method for lowering large scale integrated circuit electricity leakage power dissipation
CN101241523A (en) * 2008-03-10 2008-08-13 清华大学 Full-chip interconnecting line power consumption optimum layout stage buffer planning method
US7802217B1 (en) * 2008-01-25 2010-09-21 Oracle America, Inc. Leakage power optimization considering gate input activity and timing slack
CN102169515A (en) * 2010-02-26 2011-08-31 国际商业机器公司 Estimation method and system of clock tree delay time in specified integrated circuit
CN102799698A (en) * 2011-05-26 2012-11-28 国际商业机器公司 Method and system for planning clock tree of application-specific integrated circuit

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8924902B2 (en) * 2010-01-06 2014-12-30 Qualcomm Incorporated Methods and circuits for optimizing performance and power consumption in a design and circuit employing lower threshold voltage (LVT) devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101021882A (en) * 2007-03-27 2007-08-22 重庆重邮信科(集团)股份有限公司 Design method for lowering large scale integrated circuit electricity leakage power dissipation
US7802217B1 (en) * 2008-01-25 2010-09-21 Oracle America, Inc. Leakage power optimization considering gate input activity and timing slack
CN101241523A (en) * 2008-03-10 2008-08-13 清华大学 Full-chip interconnecting line power consumption optimum layout stage buffer planning method
CN102169515A (en) * 2010-02-26 2011-08-31 国际商业机器公司 Estimation method and system of clock tree delay time in specified integrated circuit
CN102799698A (en) * 2011-05-26 2012-11-28 国际商业机器公司 Method and system for planning clock tree of application-specific integrated circuit

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
基于多阈值技术的低功耗D触发器设计;张慧熙;《浙江大学学报(理学版)》;20050330;第165-168页 *
基于多阈值电压技术的功耗优化方法研究;熊俊峰;《第十六届计算机工程与工艺年会暨第二届微处理器技术论坛论文集》;20120817;第159-163页 *

Also Published As

Publication number Publication date
CN104573148A (en) 2015-04-29

Similar Documents

Publication Publication Date Title
CN103226632B (en) The defining method of threshold voltage device to be replaced and device
KR20100049614A (en) Method of constrained aggressor set selection for crosstalk induced noise
CN102831273B (en) Design method of digital integrated circuit comprising double-edge trigger
Moreira et al. Impact of C-elements in asynchronous circuits
CN102916687A (en) Ternary clock generator based on CMOS (complementary metal oxide semiconductor) technology
CN104573148B (en) A kind of method of sequential element leakage power consumption in reduction circuit
CN113177380B (en) Time sequence optimization method based on dummy
CN112347722B (en) Method and device for efficiently evaluating chip Feed-through flow number of stages
CN103197754B (en) A kind of method and apparatus that reduces chip power-consumption
CN102741777A (en) Zone-based leakage power optimization
CN101793941A (en) Creation method of FPGA (Field Programmable Gate Array ) configuration file
CN104519010A (en) A method and an apparatus for deploying a network safety probe
CN104036090A (en) Method and device for optimizing circuits
CN108092660A (en) A kind of optimization method and system of subthreshold value circuit
CN105334906A (en) Multistage gated clock network optimization method in nanometer technology
CN100481092C (en) Design method for lowering large scale integrated circuit electricity leakage power dissipation
Lee et al. Cycle-accurate energy measurement and characterization of FPGAs
CN112464609A (en) Method and device for optimizing relative position layout of integrated circuit and storage medium
CN102279899B (en) Method for optimizing simplified standard unit library
CN107526874A (en) A kind of low power consumption integrated circuit design method based on dual threashold threshold voltage
CN116720460A (en) Training and determining method, system, equipment and medium for inserting gating clock
CN103745048A (en) Method for CBTC interlock system access state modeling
CN104205634A (en) High resolution pulse width modulator
CN103955559A (en) Bidirectional IO multiplexing method and circuit for multi-module chip
CN107315863B (en) Layout optimization method and device, terminal and storage medium

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: 100102 Beijing city two Chaoyang District Lize Road No. 2 A block two layer

Patentee after: Beijing Huada Jiutian Technology Co.,Ltd.

Address before: 100102 Beijing city two Chaoyang District Lize Road No. 2 A block two layer

Patentee before: HUADA EMPYREAN SOFTWARE Co.,Ltd.