CN101241523A - Full-chip interconnecting line power consumption optimum layout stage buffer planning method - Google Patents

Full-chip interconnecting line power consumption optimum layout stage buffer planning method Download PDF

Info

Publication number
CN101241523A
CN101241523A CNA2008101015988A CN200810101598A CN101241523A CN 101241523 A CN101241523 A CN 101241523A CN A2008101015988 A CNA2008101015988 A CN A2008101015988A CN 200810101598 A CN200810101598 A CN 200810101598A CN 101241523 A CN101241523 A CN 101241523A
Authority
CN
China
Prior art keywords
time
slack
interconnection line
module
unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008101015988A
Other languages
Chinese (zh)
Other versions
CN100538711C (en
Inventor
马昱春
贺祥庆
洪先龙
蔡懿慈
邱翔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tsinghua University
Original Assignee
Tsinghua University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tsinghua University filed Critical Tsinghua University
Priority to CNB2008101015988A priority Critical patent/CN100538711C/en
Publication of CN101241523A publication Critical patent/CN101241523A/en
Application granted granted Critical
Publication of CN100538711C publication Critical patent/CN100538711C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The present invention provides an approaches to planning of chip interconnection line power-optimized layout stage buffer, belonging to interconnection line design optimization field of technology, characterized in containing follow steps: reading module information and interconnection line information, establishing circuit logical topology, initializing side state being 1, calculating time relaxation measurement between the module interconnection lines, calculating each side weighing in the logical topology, obtaining maximal cut set of the logical topological diagram by pre-flowing boost method, distributing time relaxation measurement to each side of the cut set, for each interconnection line, calculating need buffer size and numbers and total interconnection lines power dissipation according to the distribution result of the time relaxation. The invention reduces total power dissipation of the interconnection line without sacrificing circuit time lag performance, having great executing efficiency and industrial application price.

Description

The layout stage buffer planning method of full-chip interconnecting line power consumption optimum
Technical field
The layout stage buffer planning method of full-chip interconnecting line power consumption optimum belongs to the integrated circuit CAD field, relates in particular to interconnection line design optimization field.
Background technology
Along with constantly dwindling of integrated circuit technology size and improving constantly of integrated level, the delay of interconnection line has influenced the performance of circuit to a great extent.In order to improve the delay of interconnection line, in general need in globally interconnected line, use impact damper.Yet these impact dampers have increased power consumption greatly, make interconnecting line power consumption become the important component part in the full chip power-consumption.Interconnecting line power consumption be optimized to important consideration in the circuit design.
The performance of circuit depends on the slowest path (critical path), for most of large scale integrated circuits, has a large amount of non-critical paths in the design.Under the prerequisite that is no more than the critical path time-delay, the time-delay that can increase non-critical path improves other performances of circuit, and the time-delay recruitment of being allowed on these non-critical paths is referred to as the time slack.In recent years, many technology point out if can suitably relax the requirement that interconnection line is postponed, and number that can be by reducing impact damper and the size that reduces impact damper reduce the power consumption of interconnection line.And, the power consumption reduction amount of interconnection line and the length of interconnection line, signal upset probability, and the time increment of allowing is all relevant, even different interconnection lines gives identical time increment, the power consumption reduction amount that obtains also may differ widely.Therefore, the time slack that how to make full use of in the non-critical path comes the interconnecting line power consumption of optimization integral body to become an important topic.This problem can simply be described as: the topological structure of a given circuit and layout result thereof (the lead end position of module is given), find out the non-critical path of circuit and calculate its time slack, again according to the topological relation of circuit, the time slack is distributed to the interconnection line that can obtain the maximum power dissipation reduction, thereby obtain optimized interconnection line total power consumption.
For a long time, time slack assignment problem is subjected to paying close attention to widely, and people have proposed a lot of time slack distribution methods.For example, the zero slack allocation algorithm of Ti Chuing (Zero Slack Algorithm) is given each section interconnection line of non-critical path with time slack uniform distribution the earliest, makes in the circuit no longer life period slack.Yet this algorithm only can guarantee that the time slack all is assigned with, and does not consider the relation between power consumption and the time slack, and allocation result can not reach overall interconnect line power consumption optimum.The multiple time slack allocation algorithm of optimizing at module dissipation has appearred afterwards.Module dissipation Optimization Model in these algorithms is mostly based on the multi-power source voltage technology, the use of this method has bigger limitation: multi-power source voltage can bring the expense of extra level shifting circuit on the one hand, and under the situation of given layout, these algorithms can cause the random distribution of low-voltage module, have greatly increased the design complexities of electric power network.On the other hand, have the IP module much designed in the modern designs, the voltage of these modules can not arbitrarily change.And supply voltage can only get several discrete values, and this uncontinuity can not guarantee that the time slack is fully utilized.Therefore, even the circuit of having optimized for module voltage is further optimized interconnecting line power consumption and also is very important.Because the relation of module dissipation and time slack is different with the relation of time slack with interconnecting line power consumption, these time slack allocation algorithms at module dissipation optimization can not be grafted directly on the interconnection line optimised power consumption.Therefore, up to now, also there is not a kind of effective method can effectively distribute the time slack to obtain optimization interconnection line total power consumption.
Summary of the invention
The objective of the invention is to propose a kind of stable, efficient, can in floor planning, realize under the prerequisite that does not influence circuit performance, making interconnecting line power consumption reach the industrial instrument of optimum the making rational planning for of impact damper.In the modern designs that interconnect delay sharply increases, the planning of impact damper becomes the important ring in the design, and interconnecting line power consumption occupies the also rising day by day of proportion of entire chip power consumption simultaneously.Therefore, the impact damper of considering power consumption is planned very necessary.
The invention is characterized in that described method realizes successively according to the following steps in computing machine:
Step (1). initialization
The technological parameter that power consumption calculation is used is set: supply voltage V DD, clock frequency f Clk, unit sizes impact damper leakage current I Offn, unit sizes impact damper short circuit current I Short,
The correlation parameter of impact damper: load capacitance C is set L, drive output resistance R d, unit sizes impact damper output resistance r s, unit sizes impact damper input capacitance c o, unit sizes impact damper output capacitance c p,
The correlation parameter of interconnection line is set: unit length line capacitance c, unit length line resistance r,
The correlation parameter of power consumption-time slack branch linear model is set: the reference position a of each piecewise interval i, final position b i, the optimum time-delay of unit length interconnection line
Figure S2008101015988D00021
The model parameter m of slope between the expression linear zone i, n i, and interconnection line critical length L Crit, wherein power consumption-time slack branch linear model is:
&Delta;P ( &alpha; , L , slack ) = 0 ( L < = L crit ) &alpha; ( m i L + n i slack ) ( L > L crit , f = slack L &CenterDot; ( &tau; l ) opt &Element; [ a i , b i ) )
Wherein slack is the time slack, α representation signal upset probability, and L is an interconnect length;
Interconnection line critical length L CritObtain by following formula:
L crit = 2 2 r s ( c 0 + c p ) rc ;
Step (2). read in module and gauze information from module description file:
Step (2.1). read in the module four angular coordinate, and wide, high according to the four angular coordinate computing module of this module, and the coordinate of the corresponding lead end of module;
Step (2.2). read in the time delay information of selected module;
Step (2.3). read in gauze information, the interconnected information and the input/output relation of logging modle, and be calculated as follows the length L and the initial time delay of interconnection line:
If: the coordinate of interconnection line lead end is (x 1, y 1), (x 2, y 2),
Then: the length L of interconnection line is:
L=|x 1-x 2|+|y 1-y 2|,
If: the initial time delay d of interconnection line LinitFor
d Linit = 2 r s c 0 rc ( 1 + 1 2 ( 1 + c p c 0 ) ) * L ;
Step (3). according to the module information and the interconnect information of step (2.2), structure is in order to the directed acyclic graph of the indication circuit annexation logical topology figure as circuit, node representation module among the figure, and the line between the representation module of limit, and increase a virtual input node as original all the input nodes input, simultaneously, increase the output of a virtual output node as original all output nodes, the intrinsic time-delay of dummy node all is designated as 0;
Step (4). iteration is distributed the time slack according to the following steps:
Step (4.1). the quantity of state that each limit in the directed acyclic graph is built in initial setting up is 1;
Step (4.2). calculate the time slack between each interconnection line as follows:
a ( v ) = max u &Element; FI ( v ) ( a ( u ) + d ( u ) + d ( u , v ) ) , r ( v ) = min u &Element; FO ( v ) ( r ( u ) - d ( v ) - d ( v , u ) ) , slack ( u , v ) = r ( v ) - d ( u ) - a ( u ) - d ( u , v ) ,
Wherein: a (v) be the time of the actual arrival of signal module v, the ns of unit,
A (u) is the time of the actual arrival of signal module u, the ns of unit,
D (v) be the intrinsic time-delay of signal by module v, the ns of unit,
D (u) is the intrinsic time-delay of signal by module u, the ns of unit,
D (u, v) for signal passes through module v, the time-delay of the interconnection line between the u, the ns of unit,
R (v) arrive the time of module v for semaphore request, the ns of unit,
R (u) is the time of semaphore request arrival module u, the ns of unit,
(u is module v v) to slack, the time slack of the interconnection line between the u, the ns of unit
FI (v) be the set of all load modules of module v,
FO (v) be the set of all output modules of module v,
Establish: the time of the virtual load module of the actual arrival of signal is 0 herein, and the time that semaphore request arrives virtual output module is the time of this module of the actual arrival of signal;
Step (4.3). for the time slack is 0 interconnection line, and the quantity of state of this interconnection line is changed to 0, in case the quantity of state of all interconnection lines is 0, iterative process finishes;
Step (4.4). be calculated as follows out the weight w on each limit among the logical topology figure of the circuit of building:
w = d&Delta;P ( &alpha; , L , slack ) d ( slack )
Be w be Δ P (α, L is slack) to the partial derivative of slack;
Step (4.5). utilize pre-stream propulsion method to obtain the maximum cut set of logical topology figure, the time slack is distributed on every limit in this cut set according to the following steps:
Step (4.5.1). when not inserting impact damper in the interconnection line, this interconnection line has maximum delay d Lmax:
d L max = 1 2 rcL 2 + ( R d c + r C L ) L + R d C L ,
Wherein: R dFor driving output resistance (Ω), C LBe load capacitance (fF),
And the time-delay that is in the interconnection line of state i has a upper limit constraint d Li:
d Li=d Linit(1+f i),
f iBe the right endpoint value between corresponding linear zone in power consumption-time slack branch linear model, d LminIt is the initial time delay of the interconnection line that obtains in the step 2;
If: every current time-delay in limit is d under the current state Lcurrent, slack is the time slack of interconnection line between the module that obtains in the step 4.2,
Then: the time-delay on this limit should be updated to d Lupdated:
d Lupdated=min((d Lcurrent+slack),d Lmax,d Li);
Step (4.5.2). if d LupdatedBe assigned d Lcurrent+ slack or d Lmax, then this interconnection line quantity of state is rewritten as 0, this interconnection line time slack of can not reallocating;
Step (4.5.3). if d LupdatedBe assigned d Li, then this interconnection line quantity of state adds 1 on the original basis;
Step (4.6). for each section interconnection line, according to size s and number N and output that time slack allocation result is calculated needed impact damper, its formula is as follows:
k 1 ( c p + c 0 ) l + k 2 l + k 3 ( 1 + f ) ( &tau; l ) opt - [ k 1 s ( c p + c 0 ) l 2 + k 2 s l 2 ] dl ds = 0
1 l r s ( c p + c 0 ) + r s s c + rs c 0 + 1 2 rcl - ( 1 + f ) ( &tau; l ) opt = 0
[ 1 2 rc - r s ( c p + c 0 ) l 2 ] dl ds + rc 0 - r s c s 2 = 0
Wherein, k 1 = &alpha; V DD 2 f clk , k 2 = 3 2 V DD I offn ,
k 3=ln3·αV DDI shortf clk
Wherein, s is a buffer size, i.e. the multiple of unit buffer size,
L is an interconnect length, um,
α is a signal upset probability,
V DDBe supply voltage, V,
f ClkBe frequency of operation, GHz,
L is the impact damper spacing, um,
c oBe the unit buffer input capacitance, fF,
C is the unit length line capacitance, fF/um,
c pBe the unit buffer output capacitance, fF,
I OffnBe the unit buffer leakage current, uA,
I ShortBe the unit buffer short-circuit current, uA,
F is time punishment, exceeds optimum number percent (%) expression of delaying time with actual time delay,
Figure S2008101015988D00046
Be the optimum time-delay of unit length interconnection line, ns, setting value;
The number N of impact damper tries to achieve according to following formula:
Figure S2008101015988D00047
Figure S2008101015988D00048
Expression rounds downwards
Step (4.7). by the power consumption of setting each section interconnection line, calculate this circuit overall interconnect line power consumption,
2. the buffer planning method of full-chip interconnecting line power consumption optimumization according to claim 1 is characterized in that, described power consumption-time slack piecewise linear model is obtained according to the following steps:
A) preseting length is the computing formula of power consumption P of the interconnection line of L:
P = L * ( k 1 ( s l ( c p + c o ) + c ) + k 2 s l + k 3 ( 1 + f ) s ( &tau; l ) opt )
Wherein, k 1 L ( s l ( c p + c o ) + c ) Be dynamic power consumption,
Figure S2008101015988D000411
Be short-circuit dissipation,
k 3 L ( 1 + f ) s ( &tau; l ) opt Be electricity leakage power dissipation,
Wherein, k 1 = &alpha; V DD 2 f clk , k 2 = 3 2 V DD I offn ,
k 3=ln3·αV DDI shortf clk
Wherein, L is an interconnect length, um,
α is a signal upset probability,
V DDBe supply voltage, V,
f ClkBe frequency of operation, GHz,
S is a buffer size, i.e. the multiple of unit buffer size,
L is the impact damper spacing, um,
c oBe the unit buffer input capacitance, fF,
C is the unit length line capacitance, fF/um,
c pBe the unit buffer output capacitance, fF,
I OffnBe the unit buffer leakage current, uA,
I ShortBe the unit buffer short-circuit current, uA,
F is time punishment, exceeds optimum number percent (%) expression of delaying time with actual time delay,
Be the optimum time-delay of unit length interconnection line, ns, setting value;
B) press L crit = 2 2 r s ( c 0 + c p ) rc Calculate the critical length L of interconnection line Crit
C) when the interconnection line length L greater than L CritThe time, at the time punishment f that sets, under the signal upset probability α, try to achieve funtcional relationship between power consumption reduction amount and linear fit itself and the interconnect length L with the formula in the step (a);
D) at L greater than L CritUnder the situation, as time punishment f, when interconnect length L sets, the funtcional relationship between linear plan signal upset probability α and the power consumption reduction amount.
E) under the interconnect length L of the signal of step (c) upset probability α, step (d), the relation between piecewise linearity processing time punishment f and the power consumption reduction amount Δ P obtains power consumption-time slack piecewise linear model, represents with following formula:
&Delta;P ( &alpha; , L , slack ) = 0 ( L < = L crit ) &alpha; ( m i L + n i slack ) ( L > L crit , f = slack L &CenterDot; ( &tau; l ) opt &Element; [ a i , b i ) )
Wherein slack is the time slack, and the time slack that on behalf of this interconnection line, i distribute is residing different interval, a i, b iBe two end values between each linear zone, m i, n iBe the model parameter that match obtains, Be the optimum time-delay of unit length interconnection line, setting value.
Test findings shows: the present invention has improved the interconnection line total power consumption greatly under the prerequisite that does not influence the circuit delay performance, and this algorithm has good execution efficient.
Description of drawings
Fig. 1. interconnection line signal upset probability and power consumption reduce the linear fit result and the error of magnitude relation; Fig. 1 (a) is the linear fit curve, and Fig. 1 (b) is the graph of errors of match value and experiment value, and maximum error is 2.2%, and average error is 0.3%;
Fig. 2. punishment of interconnection line time and power consumption reduce the sectional linear fitting result and the error of magnitude relation; Fig. 2 (a) is the linear fit curve, and Fig. 2 (b) is the graph of errors of match value and experiment value, and maximum error is 4.9%, and average error is 1.7%;
Fig. 3. the static timing analysis synoptic diagram, the signal actual time of arrival a (C) of module C and semaphore request r time of arrival (C), and the time slack slack of interconnection line AC (A, C) satisfy following relation:
a(C)=max((a(A)+d(A)+d(A,C)),(a(B)+d(B)+d(B,C)));
r(C)=min((r(D)-d(C)-d(C,D)),(r(E)-d(C)-d(C,E)));
slack(A,C)=r(C)-a(A)-d(A)-d(A,C);
A (A) wherein, a (B) representation module A, the signal actual time of arrival of B, r (D), r (E) representation module D, the semaphore request time of arrival of E, d (A), d (B), d (C) representation module A, B, the intrinsic time-delay of C, d (A, C), and d (B, C), d (C, D), d (C, E) expression interconnection line AC, BC, CD, the intrinsic time-delay of CE.
Fig. 4. time slack allocation algorithm flow process.
Embodiment
Example is done with the n30 among the international benchmark test examples of circuits GSRC in this part, adopts the .18um technological parameter, according to above-described step the present invention is explained.
Following table is the definition and the numerical value of some technology correlation parameters:
Table one: technological parameter tabulation
r Unit length line resistance (Ω/μ m) 0.008
c Unit length line capacitance (fF/ μ m) 0.269
V DD Supply voltage (V) 1.8
f clk Frequency of operation (GHz) 1.2
c 0 Unit sizes impact damper input capacitance (fF) 1.9
r s Unit sizes impact damper output resistance (Ω) 36300
c p Unit sizes impact damper output capacitance (fF) 4.8
I offn Unit sizes impact damper leakage current (uA) 0.2
I short Unit sizes impact damper short-circuit current (uA) 65
R d Drive output resistance (Ω) 400
C L Load capacitance (fF) 200
1. set up power consumption-time slack piecewise linear model, suppose that the error of piecewise linear model is no more than 5%:
A) computational length is the power consumption of the interconnection line of L in the following manner
P = L * ( k 1 ( s l ( c p + c o ) + c ) + k 2 s l + k 3 ( 1 + f ) s ( &tau; l ) opt )
Wherein, k 1 L ( s l ( c p + c o ) + c ) Be dynamic power consumption,
Figure S2008101015988D00071
Be short-circuit dissipation,
k 3 L ( 1 + f ) s ( &tau; l ) opt Be electricity leakage power dissipation,
Wherein, k 1 = &alpha; V DD 2 f clk , k 2 = 3 2 V DD I offn ,
k 3=ln3·αV DDI shortf clk
Wherein, L is an interconnect length, um,
α is a signal upset probability,
V DDBe supply voltage, V,
f ClkBe frequency of operation, GHz,
S is a buffer size, i.e. the multiple of unit buffer size,
L is the impact damper spacing, um,
c oBe the unit buffer input capacitance, fF,
C is the unit length line capacitance, fF/um,
c pBe the unit buffer output capacitance, fF,
I OffnBe the unit buffer leakage current, uA,
I ShortBe the unit buffer short-circuit current, uA,
F is time punishment, exceeds optimum number percent (%) expression of delaying time with actual time delay,
Be the optimum time-delay of unit length interconnection line, ns, setting value;
B) press L crit = 2 2 r s ( c 0 + c p ) rc Calculate the critical length L of interconnection line CritCan try to achieve critical length is 6.67mm;
C) supposition interconnection line upset probability α is 0.15, and time punishment f is 10%, tries to achieve the following relation of funtcional relationship between power consumption reduction amount and linear fit itself and the interconnect length L with the formula in the step (a):
&Delta;P = 0 ( L < = L crit ) 11.4 L ( L > L crit ) ( mW )
D) suppose that interconnect length is 15mm, time punishment f is 10%, and it is as follows to try to achieve power consumption reduction amount and linear fit itself and the relation of overturning between the probability α with the formula in the step (a):
ΔP=1.14α(mW)
Error maximum 2.2%, average 0.3%, fitting result and graph of errors are as shown in Figure 1;
E) suppose that interconnect length is 15mm, upset probability α is 0.15, and piecewise linearity is handled the relation between f and the Δ P, the f interval can be divided into 5 sections [0,1%), [1%, 4%), [4%, 10%), [10%, 60%), (60%, ∞).Comprehensive first two steps analysis, it is as follows to obtain power consumption-time slack piecewise linear model,
&Delta;P ( &alpha; , L , slack ) = 0 ( L < = L crit ) &alpha; ( m i L + n i slack ) ( L > L crit , f = slack L &CenterDot; ( &tau; l ) opt &Element; [ a i , b i ) )
The wherein value of each parameter such as following table:
Table two, each parameter of piecewise linear model is as follows
al 0 b1 1% m1 0 n1 5.4
a2 1% b2 4% m2 0.054 n2 1.9
a3 4 b3 10% m3 0.076 n3 0.853
a4 10% b4 60% m4 0.085 n4 0.255
a5 60% b5 m5 0.153 n5 0.067
Analyze the error maximum 4.9% of whole piecewise linear model, average 1.7% through the Monte Carlo.Fitting result as shown in Figure 2;
2. computer program is carried out initialization, is provided with and imports following parameter according to table one and table two:
A) the relevant technological parameter of power consumption calculation is set, comprises supply voltage, clock frequency, unit sizes impact damper leakage current, unit sizes impact damper short-circuit current;
B) the relevant various performance parameters of impact damper is set, comprises load capacitance, drive output resistance, unit sizes impact damper output resistance, unit sizes impact damper input capacitance, unit sizes impact damper output capacitance;
C) the relevant various performance parameters of interconnection line is set, comprises the unit length line capacitance, the unit length line resistance;
D) correlation parameter of power consumption-time slack branch linear model is set, comprises the start-stop position a of each piecewise interval i, b i, the slope m of each interval line segment i, n i, the optimum time-delay of unit length interconnection line And interconnection line critical length L Crit
3. computing machine reads in module and gauze information from module description file:
A) read in the module four angular coordinate, and wide, high according to module four angular coordinate computing module, and the coordinate of the corresponding lead end of module; Test module n30 contains 30 modules altogether;
B) read in module time delay information, produce n30 module time delay information at random according to the delayed data in .18um technology elementary cell storehouse in the test and read in;
C) read in gauze information.In this test the n30 gauze is handled, at first the multiterminal gauze is split as two end line nets, and specify wherein that an end is an input end, all the other are output terminal, obtain 181 interconnection lines; For every interconnection line, be calculated as follows interconnect length and initial time delay:
If: the coordinate of interconnection line lead end is (x 1, y 1), (x 2, y 2),
Then: the length L of interconnection line is:
L=|x 1-x 2|+|y 1-y 2|,
The initial time delay d of interconnection line LinitFor
d Linit = 2 r s c 0 rc ( 1 + 1 2 ( 1 + c p c 0 ) ) * L ;
4. set up topological constraints figure
According to module information and interconnect information, put out the logical topology of circuit in order, construct the directed acyclic graph of indication circuit annexation, the module of the node indication circuit among the figure wherein, interconnection line between the limit representation module among the figure, and increase of the input of a virtual input node as original all input nodes, and increasing of the output of a virtual output node simultaneously as original all output nodes, the intrinsic time-delay of dummy node all is designated as 0; Contain 32 nodes among the resultant figure of example altogether, comprise that 30 internal nodes represent 30 modules, 1 virtual input node also has 1 virtual output node.
5. iteration is distributed time slack and output buffer program results according to the following steps:
A) quantity of state that each limit in the directed acyclic graph is built in initial setting up is 1;
B) calculate time slack between each interconnection line as follows:
a ( v ) = max u &Element; FI ( v ) ( a ( u ) + d ( u ) + d ( u , v ) ) , r ( v ) = min u &Element; FO ( v ) ( r ( u ) - d ( v ) - d ( v , u ) ) , slack ( u , v ) = r ( v ) - d ( u ) - a ( u ) - d ( u , v ) ,
Wherein: a (v) be the time of the actual arrival of signal module v, the ns of unit,
A (u) is the time of the actual arrival of signal module u, the ns of unit,
D (v) be the intrinsic time-delay of signal by module v, the ns of unit,
D (u) is the intrinsic time-delay of signal by module u, the ns of unit,
D (u, v) for signal passes through module v, the time-delay of the interconnection line between the u, the ns of unit,
R (v) arrive the time of module v for semaphore request, the ns of unit,
R (u) is the time of semaphore request arrival module u, the ns of unit,
(u is module v v) to slack, the time slack of the interconnection line between the u, the ns of unit
FI (v) be the set of all load modules of module v,
FO (v) be the set of all output modules of module v,
Establish: the time of the virtual load module of the actual arrival of signal is 0 herein, and the time that semaphore request arrives virtual output module is the time of this module of the actual arrival of signal; As shown in Figure 4;
C) for the time slack be 0 interconnection line, the quantity of state of this interconnection line is changed to 0, in case the quantity of state of all interconnection lines is 0, iterative process finishes;
D) be calculated as follows out the weight w on each limit among the logical topology figure of the circuit of building:
w = d&Delta;P ( &alpha; , L , slack ) d ( slack )
Be w be Δ P (α, L is slack) to the partial derivative of slack;
E) utilize pre-stream propulsion method to obtain the maximum cut set of logical topology figure.The time slack is distributed by following rule in every limit in this cut set:
1) when not inserting impact damper in the interconnection line, this interconnection line has maximum delay d Lmax:
d L max = 1 2 rcL 2 + ( R d c + r C L ) L + R d C L ,
Wherein: R dFor driving output resistance (Ω), C LBe load capacitance (fF),
And the time-delay that is in the interconnection line of state i has a upper limit constraint d Li:
d Li=d Linit(1+f i),
f iBe the right endpoint value between corresponding linear zone in power consumption-time slack branch linear model, d LminIt is the initial time delay of the interconnection line that obtains in the step 2;
If: every current time-delay in limit is d under the current state Lcurrent, slack is the time slack of interconnection line between the module that obtains in the step 4.2,
Then: the time-delay on this limit should be updated to d Lupdated:
d Lupdated=min((d Lcurrent+slack),d Lmax,d Li);
2) if d LupdatedBe assigned d Lcurrent+ slack or d Lmax, then this interconnection line quantity of state is rewritten as 0, this interconnection line time slack of can not reallocating;
3) if d LupdatedBe assigned d Li, then this interconnection line quantity of state adds 1 on the original basis;
F). for each section interconnection line, according to size s and number N and output that time slack allocation result is calculated needed impact damper, its formula is as follows:
k 1 ( c p + c 0 ) l + k 2 l + k 3 ( 1 + f ) ( &tau; l ) opt - [ k 1 s ( c p + c 0 ) l 2 + k 2 s l 2 ] dl ds = 0
1 l r s ( c p + c 0 ) + r s s c + rs c 0 + 1 2 rcl - ( 1 + f ) ( &tau; l ) opt = 0
[ 1 2 rc - r s ( c p + c 0 ) l 2 ] dl ds + rc 0 - r s c s 2 = 0
Figure S2008101015988D00104
Figure S2008101015988D00105
For rounding downwards
G). by the power consumption of each section interconnection line, calculate this circuit overall interconnect line power consumption, the total power consumption after n30 optimizes is 239.2mW, compares power consumption before with optimization and has reduced by 28.6%;
Except n30, (n50 ~ n300), and some other high-level comprehensive exemplary circuit test, the result is as shown in the table to other circuit in the GSRC example for we.Applied hardware was a 1.83GHz when the present invention tested, the PC of internal memory 1GB, and all exemplary circuit all can be obtained a result in several ms.
Table two exemplary circuit test result
Examples of circuits The interconnection line number Initial power consumption (mW) Optimize back power consumption (mW) Power consumption reduces (%) Iterations
n30 181 335.03 239.24 28.6 15
n50 208 1473.97 1100.81 25.3 16
n100 293 2106.53 1251.53 40.6 21
n200 599 6413.5 3316.57 48.3 24
n300 719 7126.47 3486.48 51.1 30
chemical 30 241.64 128.48 46.8 4
dct_ijepg 64 1013.51 504.13 50.3 8
dct_lee 49 960.16 542.98 43.5 6
Dct_wang 57 1123.31 592.02 47.3 6
elliptic 32 740.61 313.56 57.7 3
iir77 32 683.94 378.79 44.6 7
jacobi_sm 60 1366.1 806.68 41 9
wdf 47 457.57 306.06 33.1 5
Mean value 41.7
To sum up, buffer planning algorithm of the present invention has following advantage:
1. these time slacks take full advantage of the time slack in the circuit non-critical path, so that can be used in optimization interconnection line total power consumption.
2. only need disposable definite power consumption-time slack piecewise linear model, time slack allocation algorithm afterwards has very high execution efficient.
3. have industrial application value, can be used for the IC design process: the interconnect planning problem in module level floor planning/layout.

Claims (1)

1. the buffer planning method of full-chip interconnecting line power consumption optimumization is characterized in that, described method realizes in computing machine successively according to the following steps:
Step (1). initialization
The technological parameter that power consumption calculation is used is set: supply voltage V DD, clock frequency f Clk, unit sizes impact damper leakage current I Offn, unit sizes impact damper short circuit current I Short,
The correlation parameter of impact damper: load capacitance C is set L, drive output resistance R d, unit sizes impact damper output resistance r s, unit sizes impact damper input capacitance c o, unit sizes impact damper output capacitance c p,
The correlation parameter of interconnection line is set: unit length line capacitance c, unit length line resistance r,
The correlation parameter of power consumption-time slack branch linear model is set: the reference position a of each piecewise interval i, final position b i, the optimum time-delay of unit length interconnection line
Figure S2008101015988C00011
The model parameter m of slope between the expression linear zone i, n i, and interconnection line critical length L Crit, wherein power consumption-time slack branch linear model is:
&Delta;P ( &alpha; , L , slack ) = 0 ( L < = L crit ) &alpha; ( m i L + n i slack ) ( L > L crit , f = slack L &CenterDot; ( &tau; l ) opt &Element; [ a i , b i ) )
Wherein slack is the time slack, α representation signal upset probability, and L is an interconnect length;
Interconnection line critical length L CritObtain by following formula:
L crit = 2 2 r s ( c 0 + c p ) rc ;
Step (2). read in module and gauze information from module description file:
Step (2.1). read in the module four angular coordinate, and wide, high according to the four angular coordinate computing module of this module, and the coordinate of the corresponding lead end of module;
Step (2.2). read in the time delay information of selected module;
Step (2.3). read in gauze information, the interconnected information and the input/output relation of logging modle, and be calculated as follows the length L and the initial time delay of interconnection line:
If: the coordinate of interconnection line lead end is (x 1, y 1), (x 2, y 2),
Then: the length L of interconnection line is:
L=|x 1-x 2|+|y 1-y 2|,
If: the initial time delay d of interconnection line LinitFor
d Linit = 2 r s c 0 rc ( 1 + 1 2 ( 1 + c p c 0 ) ) * L ;
Step (3). according to the module information and the interconnect information of step (2.2), structure is in order to the directed acyclic graph of the indication circuit annexation logical topology figure as circuit, node representation module among the figure, and the line between the representation module of limit, and increase a virtual input node as original all the input nodes input, simultaneously, increase the output of a virtual output node as original all output nodes, the intrinsic time-delay of dummy node all is designated as 0;
Step (4). iteration is distributed the time slack according to the following steps:
Step (4.1). the quantity of state that each limit in the directed acyclic graph is built in initial setting up is 1;
Step (4.2). calculate the time slack between each interconnection line as follows:
a ( v ) = max u &Element; FI ( v ) ( a ( u ) + d ( u ) + d ( u , v ) ) , r ( v ) = min u &Element; FO ( v ) ( r ( u ) - d ( v ) - d ( v , u ) ) , slack ( u , v ) = r ( v ) - d ( u ) - a ( u ) - d ( u , v ) ,
Wherein: a (v) be the time of the actual arrival of signal module v, the ns of unit,
A (u) is the time of the actual arrival of signal module u, the ns of unit,
D (v) be the intrinsic time-delay of signal by module v, the ns of unit,
D (u) is the intrinsic time-delay of signal by module u, the ns of unit,
D (u, v) for signal passes through module v, the time-delay of the interconnection line between the u, the ns of unit,
R (v) arrive the time of module v for semaphore request, the ns of unit,
R (u) is the time of semaphore request arrival module u, the ns of unit,
(u is module v v) to slack, the time slack of the interconnection line between the u, the ns of unit
FI (v) be the set of all load modules of module v,
FO (v) be the set of all output modules of module v,
Establish: the time of the virtual load module of the actual arrival of signal is 0 herein, and the time that semaphore request arrives virtual output module is the time of this module of the actual arrival of signal;
Step (4.3). for the time slack is 0 interconnection line, and the quantity of state of this interconnection line is changed to 0, in case the quantity of state of all interconnection lines is 0, iterative process finishes;
Step (4.4). be calculated as follows out the weight w on each limit among the logical topology figure of the circuit of building:
w = d&Delta;P ( &alpha; , L , slack ) d ( slack )
Be w be Δ P (α, L is slack) to the partial derivative of slack;
Step (4.5). utilize pre-stream propulsion method to obtain the maximum cut set of logical topology figure, the time slack is distributed on every limit in this cut set according to the following steps:
Step (4.5.1). when not inserting impact damper in the interconnection line, this interconnection line has maximum delay d Lmax:
d L max = 1 2 rcL 2 + ( R d c + r C L ) L + R d C L ,
Wherein: R dFor driving output resistance (Ω), C LBe load capacitance (fF),
And the time-delay that is in the interconnection line of state i has a upper limit constraint d Li:
d Li=d Linit(1+f i),
f iBe the right endpoint value between corresponding linear zone in power consumption-time slack branch linear model, d LminIt is the initial time delay of the interconnection line that obtains in the step 2;
If: every current time-delay in limit is d under the current state Lcurrent, slack is the time slack of interconnection line between the module that obtains in the step 4.2,
Then: the time-delay on this limit should be updated to d Lupdated:
d Lupdated=min((d Lcurrent+slack),d Lmax,d Li);
Step (4.5.2). if d LupdatedBe assigned d Lcurrent+ slack or d Lmax, then this interconnection line quantity of state is rewritten as 0, this interconnection line time slack of can not reallocating;
Step (4.5.3). if d LupdatedBe assigned d Li, then this interconnection line quantity of state adds 1 on the original basis;
Step (4.6). for each section interconnection line, according to size s and number N and output that time slack allocation result is calculated needed impact damper, its formula is as follows:
k 1 ( c p + c 0 ) l + k 2 l + k 3 ( 1 + f ) ( &tau; l ) opt - [ k 1 s ( c p + c 0 ) l 2 + k 2 s l 2 ] dl ds = 0
1 l r s ( c p + c 0 ) + r s s c + rs c 0 + 1 2 rcl - ( 1 + f ) ( &tau; l ) opt = 0
[ 1 2 rc - r s ( c p + c 0 ) l 2 ] dl ds + rc 0 - r s c s 2 = 0
Wherein, k 1 = &alpha; V DD 2 f clk , k 2 = 3 2 V DD I offn , k 3=ln3·αV DDI shortf clk
Wherein, s is a buffer size, i.e. the multiple of unit buffer size,
L is an interconnect length, um,
α is a signal upset probability,
V DDBe supply voltage, V,
f ClkBe frequency of operation, GHz,
L is the impact damper spacing, um,
c oBe the unit buffer input capacitance, fF,
C is the unit length line capacitance, fF/um,
c pBe the unit buffer output capacitance, fF,
I OffnBe the unit buffer leakage current, uA,
I ShortBe the unit buffer short-circuit current, uA,
F is time punishment, exceeds optimum number percent (%) expression of delaying time with actual time delay,
Figure S2008101015988C00041
Be the optimum time-delay of unit length interconnection line, ns, setting value;
The number N of impact damper tries to achieve according to following formula:
Figure S2008101015988C00042
Figure S2008101015988C00043
Expression rounds downwards
Step (4.7). by the power consumption of setting each section interconnection line, calculate this circuit overall interconnect line power consumption,
The buffer planning method of full-chip interconnecting line power consumption optimumization according to claim 1 is characterized in that, described power consumption-time slack piecewise linear model is obtained according to the following steps:
Preseting length is the computing formula of power consumption P of the interconnection line of L:
P = L * ( k 1 ( s l ( c p + c o ) + c ) + k 2 s l + k 3 ( 1 + f ) s ( &tau; l ) opt )
Wherein, k 1 L ( s l ( c p + c o ) + c ) Be dynamic power consumption,
Be short-circuit dissipation,
k 3 L ( 1 + f ) s ( &tau; l ) opt Be electricity leakage power dissipation,
Wherein, k 1 = &alpha; V DD 2 f clk , k 2 = 3 2 V DD I offn , k 3=ln3·αV DDI shortf clk
Wherein, L is an interconnect length, um,
α is a signal upset probability,
V DDBe supply voltage, V,
f ClkBe frequency of operation, GHz,
S is a buffer size, i.e. the multiple of unit buffer size,
L is the impact damper spacing, um,
c oBe the unit buffer input capacitance, fF,
C is the unit length line capacitance, fF/um,
c pBe the unit buffer output capacitance, fF,
I OffnBe the unit buffer leakage current, uA,
I ShortBe the unit buffer short-circuit current, uA,
F is time punishment, exceeds optimum number percent (%) expression of delaying time with actual time delay,
Figure S2008101015988C00051
Be the optimum time-delay of unit length interconnection line, ns, setting value;
Press L crit = 2 2 r s ( c 0 + c p ) rc Calculate the critical length L of interconnection line Crit
C) when the interconnection line length L greater than L CritThe time, at the time punishment f that sets, under the signal upset probability α, try to achieve funtcional relationship between power consumption reduction amount and linear fit itself and the interconnect length L with the formula in the step (a);
D) at L greater than L CritUnder the situation, as time punishment f, when interconnect length L sets, the funtcional relationship between linear plan signal upset probability α and the power consumption reduction amount.
E) under the interconnect length L of the signal of step (c) upset probability α, step (d), the relation between piecewise linearity processing time punishment f and the power consumption reduction amount Δ P obtains power consumption-time slack piecewise linear model, represents with following formula:
&Delta;P ( &alpha; , L , slack ) = 0 ( L < = L crit ) &alpha; ( m i L + n i slack ) ( L > L crit , f = slack L &CenterDot; ( &tau; l ) opt &Element; [ a i , b i ) )
Wherein slack is the time slack, and the time slack that on behalf of this interconnection line, i distribute is residing different interval, a i, b iBe two end values between each linear zone, m i, n iBe the model parameter that match obtains,
Figure S2008101015988C00054
Be the optimum time-delay of unit length interconnection line, setting value.
CNB2008101015988A 2008-03-10 2008-03-10 The layout stage buffer planning method of full-chip interconnecting line power consumption optimum Expired - Fee Related CN100538711C (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNB2008101015988A CN100538711C (en) 2008-03-10 2008-03-10 The layout stage buffer planning method of full-chip interconnecting line power consumption optimum

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2008101015988A CN100538711C (en) 2008-03-10 2008-03-10 The layout stage buffer planning method of full-chip interconnecting line power consumption optimum

Publications (2)

Publication Number Publication Date
CN101241523A true CN101241523A (en) 2008-08-13
CN100538711C CN100538711C (en) 2009-09-09

Family

ID=39933051

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2008101015988A Expired - Fee Related CN100538711C (en) 2008-03-10 2008-03-10 The layout stage buffer planning method of full-chip interconnecting line power consumption optimum

Country Status (1)

Country Link
CN (1) CN100538711C (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102063536A (en) * 2010-12-17 2011-05-18 清华大学 Collaborative design method for power/ground network and layout planning based on pattern matching
CN102063535A (en) * 2010-12-17 2011-05-18 清华大学 Incremental I/O (Input/Output) planning method orienting inversion packaging technology
CN101662887B (en) * 2008-08-29 2011-11-09 鸿富锦精密工业(深圳)有限公司 Printing circuit board and method for optimizing position of induction point thereof
CN102243675A (en) * 2011-07-08 2011-11-16 西安电子科技大学 Method for analytically calculating power consumption of coupled interconnection
CN103226632A (en) * 2013-03-29 2013-07-31 龙芯中科技术有限公司 Method and apparatus for replacing threshold voltage device
CN103425820A (en) * 2013-07-11 2013-12-04 陈钢 Layout method for reducing buffer inserting number
CN103632001A (en) * 2013-11-27 2014-03-12 中国人民解放军国防科学技术大学 Retention time sequence optimization method based on multiplexing of buffer unit
CN104573148A (en) * 2013-10-17 2015-04-29 北京华大九天软件有限公司 Method for lowering electricity leakage power consumption of time sequence device in circuit
CN104820620A (en) * 2015-04-14 2015-08-05 宁波大学 Heuristic multi-voltage distribution method for system on chip
CN104881507A (en) * 2014-02-28 2015-09-02 国际商业机器公司 Method and apparatus for repairing hold time violations in circuit
CN105095551A (en) * 2014-05-22 2015-11-25 上海北京大学微电子研究院 System level optimization method for power supply voltage of SOI process
CN110147139A (en) * 2018-02-12 2019-08-20 创意电子股份有限公司 Computer implemented method, clock pulse data processing system and computer-readable storage media
CN111930613A (en) * 2020-07-14 2020-11-13 深圳市紫光同创电子有限公司 Test case generation method and device for chip to be tested, electronic equipment and medium
CN112800706A (en) * 2021-04-08 2021-05-14 南京集成电路设计服务产业创新中心有限公司 Method for miniaturizing fast lookup table line length model
CN112984919A (en) * 2021-05-12 2021-06-18 创新奇智(北京)科技有限公司 Refrigerating system energy efficiency optimization method and device, electronic equipment and storage medium
CN114330191A (en) * 2022-03-08 2022-04-12 上海国微思尔芯技术股份有限公司 Signal multiplexing transmission method and device

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101662887B (en) * 2008-08-29 2011-11-09 鸿富锦精密工业(深圳)有限公司 Printing circuit board and method for optimizing position of induction point thereof
CN102063536A (en) * 2010-12-17 2011-05-18 清华大学 Collaborative design method for power/ground network and layout planning based on pattern matching
CN102063535A (en) * 2010-12-17 2011-05-18 清华大学 Incremental I/O (Input/Output) planning method orienting inversion packaging technology
CN102063535B (en) * 2010-12-17 2012-07-11 清华大学 Incremental I/O (Input/Output) planning method orienting inversion packaging technology
CN102063536B (en) * 2010-12-17 2012-11-14 清华大学 Collaborative design method for power/ground network and layout planning based on pattern matching
CN102243675A (en) * 2011-07-08 2011-11-16 西安电子科技大学 Method for analytically calculating power consumption of coupled interconnection
CN102243675B (en) * 2011-07-08 2013-03-20 西安电子科技大学 Method for analytically calculating power consumption of coupled interconnection
CN103226632A (en) * 2013-03-29 2013-07-31 龙芯中科技术有限公司 Method and apparatus for replacing threshold voltage device
CN103226632B (en) * 2013-03-29 2016-03-30 龙芯中科技术有限公司 The defining method of threshold voltage device to be replaced and device
CN103425820B (en) * 2013-07-11 2016-02-24 陈钢 A kind of layout method reducing buffering insertion number
CN103425820A (en) * 2013-07-11 2013-12-04 陈钢 Layout method for reducing buffer inserting number
CN104573148A (en) * 2013-10-17 2015-04-29 北京华大九天软件有限公司 Method for lowering electricity leakage power consumption of time sequence device in circuit
CN104573148B (en) * 2013-10-17 2017-11-14 北京华大九天软件有限公司 A kind of method of sequential element leakage power consumption in reduction circuit
CN103632001B (en) * 2013-11-27 2016-03-30 中国人民解放军国防科学技术大学 Based on the retention time timing optimization method that buffer cell is multiplexing
CN103632001A (en) * 2013-11-27 2014-03-12 中国人民解放军国防科学技术大学 Retention time sequence optimization method based on multiplexing of buffer unit
CN104881507B (en) * 2014-02-28 2018-01-19 格芯公司 The method and apparatus for repairing the retention time fault in circuit
CN104881507A (en) * 2014-02-28 2015-09-02 国际商业机器公司 Method and apparatus for repairing hold time violations in circuit
CN105095551A (en) * 2014-05-22 2015-11-25 上海北京大学微电子研究院 System level optimization method for power supply voltage of SOI process
CN104820620A (en) * 2015-04-14 2015-08-05 宁波大学 Heuristic multi-voltage distribution method for system on chip
CN104820620B (en) * 2015-04-14 2018-01-23 宁波大学 A kind of heuristic multivoltage distribution method of on-chip system
CN110147139B (en) * 2018-02-12 2022-10-18 创意电子股份有限公司 Computer execution method, clock data processing system and computer readable storage medium
CN110147139A (en) * 2018-02-12 2019-08-20 创意电子股份有限公司 Computer implemented method, clock pulse data processing system and computer-readable storage media
CN111930613A (en) * 2020-07-14 2020-11-13 深圳市紫光同创电子有限公司 Test case generation method and device for chip to be tested, electronic equipment and medium
CN111930613B (en) * 2020-07-14 2023-11-28 深圳市紫光同创电子有限公司 Test case generation method and device for chip to be tested, electronic equipment and medium
CN112800706A (en) * 2021-04-08 2021-05-14 南京集成电路设计服务产业创新中心有限公司 Method for miniaturizing fast lookup table line length model
CN112800706B (en) * 2021-04-08 2021-07-06 南京集成电路设计服务产业创新中心有限公司 Method for miniaturizing fast lookup table line length model
CN112984919A (en) * 2021-05-12 2021-06-18 创新奇智(北京)科技有限公司 Refrigerating system energy efficiency optimization method and device, electronic equipment and storage medium
CN114330191A (en) * 2022-03-08 2022-04-12 上海国微思尔芯技术股份有限公司 Signal multiplexing transmission method and device
CN114330191B (en) * 2022-03-08 2022-06-10 上海国微思尔芯技术股份有限公司 Method and device for signal multiplexing transmission

Also Published As

Publication number Publication date
CN100538711C (en) 2009-09-09

Similar Documents

Publication Publication Date Title
CN100538711C (en) The layout stage buffer planning method of full-chip interconnecting line power consumption optimum
Téllez et al. Activity-driven clock design for low power circuits
Brooks et al. Power, thermal, and reliability modeling in nanometer-scale microprocessors
Lee et al. Optimizing throughput of power-and thermal-constrained multicore processors using DVFS and per-core power-gating
Chen et al. On gate level power optimization using dual-supply voltages
US6898769B2 (en) Decoupling capacitor sizing and placement
CN103207941A (en) Transient analysis method and transient analysis system under integrated circuit power supply network full-parameter model
Possignolo et al. GPU NTC process variation compensation with voltage stacking
Salamin et al. NCFET-aware voltage scaling
Chen et al. Provably good algorithm for low power consumption with dual supply voltages
Chen et al. An effective algorithm for gate-level power-delay tradeoff using two voltages
Feng et al. A thermal-aware mapping algorithm for 3D Mesh Network-on-Chip architecture
CN102339637A (en) Condition-precharged sense-amplifier-based flip flop
CN102609563B (en) Low power consumption design method for SRAM (static random-access memory) type FPGA (field-programmable gate array)
WO2007080498A2 (en) System and method of determining the speed of digital application specific integrated circuits
Fu et al. A cross-layer power and timing evaluation method for wide voltage scaling
Steinfeld et al. Low-power processors require effective memory partitioning
Chandrakar et al. A SAT-based methodology for effective clock gating for power minimization
Donkoh et al. A hybrid and adaptive model for predicting register file and SRAM power using a reference design
US7881241B2 (en) Dynamical sequentially-controlled low-power multiplexer device
Kao et al. Clock skew minimization with adjustable delay buffers restriction
CN112134557B (en) Wide voltage self-adaptive adjusting system and method based on pulse latch time sequence monitoring
Wu et al. Congestion aware high level synthesis combined with floorplanning
Fan et al. An algorithm for reducing leakage power based on dual-threshold voltage technique
Sekar et al. Optimal repeaters for sub-50nm interconnect networks

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090909

Termination date: 20130310