CN104573148A - Method for lowering electricity leakage power consumption of time sequence device in circuit - Google Patents

Method for lowering electricity leakage power consumption of time sequence device in circuit Download PDF

Info

Publication number
CN104573148A
CN104573148A CN201310485457.1A CN201310485457A CN104573148A CN 104573148 A CN104573148 A CN 104573148A CN 201310485457 A CN201310485457 A CN 201310485457A CN 104573148 A CN104573148 A CN 104573148A
Authority
CN
China
Prior art keywords
time sequence
power consumption
circuit
leakage power
electricity leakage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310485457.1A
Other languages
Chinese (zh)
Other versions
CN104573148B (en
Inventor
周舒哲
董森华
陈彬
燕昭然
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Empyrean Technology Co Ltd
Original Assignee
Beijing CEC Huada Electronic Design Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing CEC Huada Electronic Design Co Ltd filed Critical Beijing CEC Huada Electronic Design Co Ltd
Priority to CN201310485457.1A priority Critical patent/CN104573148B/en
Publication of CN104573148A publication Critical patent/CN104573148A/en
Application granted granted Critical
Publication of CN104573148B publication Critical patent/CN104573148B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Disclosed is a method for lowering electricity leakage power consumption of a time sequence device in a circuit. As size of an integrated circuit is constantly reduced, proportion that the electricity leakage power consumption accounts in total power consumption is constantly increased, a clock system is complex increasingly, proportion that the time sequence device accounts is constantly increased, effective lowering of the electricity leakage power consumption of the time sequence device cannot be ignored already. Technology of replacing low threshold voltage (LVT) with high threshold voltage (HVT) is adopted to lower the electricity leakage power consumption, and a main difficulty lies on that time sequence constraint of the circuit cannot be violated. According to characteristics of the time sequence device, two time delay changes including setup constraint and time delay from a clock end to an output end are analyzed; a method for building an association table among the time sequence devices is provided to record information of the association table among all time sequence devices; aiming at the requirement that an optimized circuit does not violates constraint of time sequence, a method of utilizing comparison between time delay variable (delta) and time relay allowance (slack) and the association table to filter replaceable time sequence devices is provided, so that the objective of lowering the electricity leakage power consumption is achieved.

Description

A kind of method reducing sequential element leakage power consumption in circuit
Technical field
Reduce the method for sequential element leakage power consumption in circuit be eda tool under the Timing Constraints not violating circuit, by the sequential device of low threshold voltage (LVT) being changed into the sequential device of high threshold voltage (HVT), to reduce the method for electricity leakage power dissipation.The invention belongs to EDA design field.
Background technology
Along with integrated circuit feature size constantly reduces, very general with the technology reducing dynamic power consumption by reducing supply voltage.Meanwhile, in order to the performance of holding circuit, usually select the device of low threshold voltage with realizing circuit function.But the application of the device of low threshold voltage, makes the ratio accounted in total power consumption of electricity leakage power dissipation constantly promote.We are by the time delay of estimation circuit, under the condition not violating temporal constraint, by a part of low threshold voltage device change the device of high threshold voltage into, to reach the object reducing electricity leakage power dissipation.
When holding circuit performance, the method utilizing two Vt technology to reduce electricity leakage power dissipation is widely used.On the one hand, the displacement of logical combination device is less on sequential impact.According to the result of static timing analysis, the surplus (slack) that current device postpones can be learnt, the delay difference (delta) that displacement occurs can be calculated simultaneously, thus determine to replace this unit.On the other hand, ratio shared in the former circuit of sequential device is less, and larger on the impact of sequential.Therefore, engineers, when utilizing two Vt replacement technique to fall electricity leakage power dissipation, usually can neglect sequential device.
Under current process node, circuit function becomes increasingly complex, and clock system is more and more huger, and the power consumption of sequential device can not be ignored in circuit.There are two features in the displacement of sequential device cell: 1) usually can affect setup time and the clock signal time delay to Q point, and the cost of time delay also can be larger than conventional combination device simultaneously; 2) may be interrelated between sequential device, this makes the estimation postponed need to consider that can relevant sequential device replace simultaneously.
We propose a kind of method reducing sequential element leakage power consumption in circuit herein: the change postponed to Q point by the change and clock signal calculating the setup time, set up the annexation between sequential device, to ensure that the temporal constraint that can not affect circuit replaced by sequential device simultaneously simultaneously.
Summary of the invention
The present invention proposes a kind of method reducing sequential element leakage power consumption in circuit, and this method considers the delay variation feature of sequential device displacement, and considers the incidence relation between sequential device.Illustrate above feature herein, propose corresponding solution, ensure that to greatest extent and replace sequential device simultaneously and do not destroy temporal constraint.
 
Sequential device is also with regard to trigger (Flip Flop), and it is a kind of device storing digital signal, has input end and output terminal, and has a special input end to be used for input clock signal.When received during clock signal, output terminal just can upgrade output signal according to input signal.Between two clock signals, the signal of output terminal all can not change.Be to the difference of logical signal: the output of logical signal is relevant with current input signal; And the output signal of trigger to be input signal when arriving to a upper clock signal relevant.
Fig. 1 is D flip flop, and input signal arrives D port, and clock signal arrives triangular marker place, outputs signal and exports from Q or QN end.D flip flop is that rising edge triggers, and namely when clock signal becomes 1 from 0, the signal of output terminal Q can according to the signal update of D end, and QN is just contrary with the signal of D.
In order to better calculation delay, we can be device setup delay model usually.Each Time Delay Model, a signal paths of usual respective devices.The signal path of a not gate A->Z is shown in Fig. 2, and the Changing Pattern of path two end signal.Due to the singularity of sequential device function, setup delay model usually can be more complicated.The Time Delay Model of foundation from input end to output terminal that can not be simple, because there is clock signal to control the transmission of data-signal.Usually, we can define two kinds of Time Delay Models: 1) from input end to clock end, as Fig. 3, and there is a setup constraint, before referring to that clock signal arrives, data-signal must shift to an earlier date ready time-constrain; 2) from clock end to output terminal, as Fig. 4, this is that signal is transferred to from the clock arrival moment Time Delay Model set up needed for output terminal.
Above Time Delay Model can be utilized, carry out static timing analysis.Calculate the delay surplus (slack) of often kind of device, compare with the amount of delay (delta) needed for displacement parallel operation part.As delta<slack, effectively can replace, and not violate temporal constraint.
In order to raise the efficiency, we can exchange a collection of unit component for usually in batches, then carry out the renewal of time delay value.Combinational logic circuit, by the mode of topological sorting, finds out the incoherent unit of a collection of sequential.Relevance between sequential device cannot be discharged with topological sorting, usually there will be inter-related situation, as shown in Figure 5.
We propose a kind of method setting up sequential device contingency table: first, based on the annexation of circuit, by traveling through from all sequential device output end Q to next sequential device input D, can obtain the Q point that all D point has annexation; Then according to the contingency table of D point, we can analyze the contingency table drawing all D points that Q point connects; Finally these two tables are merged, the contingency table that all sequential devices are put can be drawn.
When permute unit, we are by this contingency table, the dimension of sequential device according to association are sorted.Then, the Delay Variation value (delta) calculated in conjunction with Time Delay Model and already present delay surplus (slack), analyze delta and whether be less than slack value, determine that can active cell replaced.Meanwhile, after current permutation consumes a part of surplus, whether the sequential device of those associations also has enough delay surpluses to replace, if not, must be excluded from replaceable set.
Accompanying drawing explanation
Fig. 1 D flip flop
The signalling channel of Fig. 2 not gate and waveform relationship
The signalling channel that Fig. 3 setup retrains and waveform relationship
Fig. 4 clock end is to the signalling channel of output terminal and waveform relationship
Interrelated between Fig. 5 sequential device
concrete implementation step:
The method utilizing LVT to be replaced into HVT sequential device carries out electricity leakage power dissipation optimization, and operating process is as follows:
1) prepare circuit meshwork list, the Lib storehouse of standard block and Sdc file, carry out static timing analysis;
2) find out likely replaced timing unit, and calculate the delay variation (delta) needed for displacement;
3) filter out amount of delay and be greater than the unit postponing surplus (slack);
4) set up the contingency table of sequential device, and carry out ascending order arrangement according to associated apparatus number;
5) choose disposable units in order, get rid of other timing units that selected cell can have influence on simultaneously;
After having replaced, need to upgrade delay data, follow-up circuit optimization step can be proceeded.

Claims (3)

1. reduce a method for sequential element leakage power consumption in circuit, the principal character relating to EDA design tool is:
(1) definition of two kinds of signalling channels and waveform delay in sequential device: one is that clock signal has setup temporal constraint (namely input signal needed ready time-constrain before clock signal) to input signal; Another kind is the time delay after clock signal arrives needed for output signal output;
(2) by traversal circuit diagram, the method for contingency table between sequential device is set up;
(3) by changing value and the sequential device contingency table of two kinds of waveform delay, judge that can sequential device carry out the Low Power Loss Solution that LVT replaces with HVT in order.
2. there is the combination of feature (1), (2), (3).
3. there is the combination of feature (2), (3).
CN201310485457.1A 2013-10-17 2013-10-17 A kind of method of sequential element leakage power consumption in reduction circuit Active CN104573148B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310485457.1A CN104573148B (en) 2013-10-17 2013-10-17 A kind of method of sequential element leakage power consumption in reduction circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310485457.1A CN104573148B (en) 2013-10-17 2013-10-17 A kind of method of sequential element leakage power consumption in reduction circuit

Publications (2)

Publication Number Publication Date
CN104573148A true CN104573148A (en) 2015-04-29
CN104573148B CN104573148B (en) 2017-11-14

Family

ID=53089208

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310485457.1A Active CN104573148B (en) 2013-10-17 2013-10-17 A kind of method of sequential element leakage power consumption in reduction circuit

Country Status (1)

Country Link
CN (1) CN104573148B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110956009A (en) * 2018-09-25 2020-04-03 中国科学院微电子研究所 Power consumption optimization method and system for sub-threshold digital circuit
CN112214097A (en) * 2020-10-20 2021-01-12 天津飞腾信息技术有限公司 Method, device, equipment and storage medium for reducing low threshold unit

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101021882A (en) * 2007-03-27 2007-08-22 重庆重邮信科(集团)股份有限公司 Design method for lowering large scale integrated circuit electricity leakage power dissipation
CN101241523A (en) * 2008-03-10 2008-08-13 清华大学 Full-chip interconnecting line power consumption optimum layout stage buffer planning method
US7802217B1 (en) * 2008-01-25 2010-09-21 Oracle America, Inc. Leakage power optimization considering gate input activity and timing slack
US20110163801A1 (en) * 2010-01-06 2011-07-07 Qualcomm Incorporated Methods and Circuits for Optimizing Performance and Power Consumption in a Design and Circuit Employing Lower Threshold Voltage (LVT) Devices
CN102169515A (en) * 2010-02-26 2011-08-31 国际商业机器公司 Estimation method and system of clock tree delay time in specified integrated circuit
CN102799698A (en) * 2011-05-26 2012-11-28 国际商业机器公司 Method and system for planning clock tree of application-specific integrated circuit

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101021882A (en) * 2007-03-27 2007-08-22 重庆重邮信科(集团)股份有限公司 Design method for lowering large scale integrated circuit electricity leakage power dissipation
US7802217B1 (en) * 2008-01-25 2010-09-21 Oracle America, Inc. Leakage power optimization considering gate input activity and timing slack
CN101241523A (en) * 2008-03-10 2008-08-13 清华大学 Full-chip interconnecting line power consumption optimum layout stage buffer planning method
US20110163801A1 (en) * 2010-01-06 2011-07-07 Qualcomm Incorporated Methods and Circuits for Optimizing Performance and Power Consumption in a Design and Circuit Employing Lower Threshold Voltage (LVT) Devices
CN102169515A (en) * 2010-02-26 2011-08-31 国际商业机器公司 Estimation method and system of clock tree delay time in specified integrated circuit
CN102799698A (en) * 2011-05-26 2012-11-28 国际商业机器公司 Method and system for planning clock tree of application-specific integrated circuit

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
张慧熙: "基于多阈值技术的低功耗D触发器设计", 《浙江大学学报(理学版)》 *
熊俊峰: "基于多阈值电压技术的功耗优化方法研究", 《第十六届计算机工程与工艺年会暨第二届微处理器技术论坛论文集》 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110956009A (en) * 2018-09-25 2020-04-03 中国科学院微电子研究所 Power consumption optimization method and system for sub-threshold digital circuit
CN110956009B (en) * 2018-09-25 2024-09-06 中国科学院微电子研究所 Sub-threshold digital circuit power consumption optimization method and system
CN112214097A (en) * 2020-10-20 2021-01-12 天津飞腾信息技术有限公司 Method, device, equipment and storage medium for reducing low threshold unit
CN112214097B (en) * 2020-10-20 2021-11-05 飞腾信息技术有限公司 Method, device, equipment and storage medium for reducing low threshold unit

Also Published As

Publication number Publication date
CN104573148B (en) 2017-11-14

Similar Documents

Publication Publication Date Title
CN103226632B (en) The defining method of threshold voltage device to be replaced and device
CN103345299B (en) A kind of voltage adjusting method and corresponding HPM, chip and chip system
CN102339338B (en) Time sequence repairing method
CN105159374A (en) Online monitoring unit oriented to ultrawide voltage and monitoring window self-adaptive adjusting system
Moreira et al. Impact of C-elements in asynchronous circuits
CN103546125B (en) A kind of multiselect one burr-free clock switching circuit
KR20100049614A (en) Method of constrained aggressor set selection for crosstalk induced noise
CN102857198B (en) For the clock gating circuit of dual-edge trigger
CN101539958A (en) Method and device for designing standard cell library and integrated circuit
CN103080938A (en) Method and apparatus for simultaneous switching noise optimization
US7822591B2 (en) Logic circuit model conversion apparatus and method thereof; and logic circuit model conversion program
CN104573148A (en) Method for lowering electricity leakage power consumption of time sequence device in circuit
CN105334906A (en) Multistage gated clock network optimization method in nanometer technology
CN102420585A (en) Bilateral pulse D-type flip-flop
KR101539712B1 (en) Semiconductor device enabling low power scan test and method for testing the same
CN104699867A (en) Optimization method for local layout of FPGA chips
Lee et al. Cycle-accurate energy measurement and characterization of FPGAs
CN100481092C (en) Design method for lowering large scale integrated circuit electricity leakage power dissipation
CN104699224A (en) Power-saving control method
CN101915894A (en) Method for testing real-time finite-sate machine in digital logic device
CN107526874A (en) A kind of low power consumption integrated circuit design method based on dual threashold threshold voltage
CN102545837A (en) D trigger circuit structure for sub-threshold circuit
CN104021246A (en) Self-adaptive length predictor applied to low power consumption fault-tolerant circuit
CN203217573U (en) Circuit structure for repairing violation retention time
POTARNICHE et al. Power Dissipation Estimation of CMOS Digital Circuits at the Gate Level in VHDL

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: 100102 Beijing city two Chaoyang District Lize Road No. 2 A block two layer

Patentee after: Beijing Huada Jiutian Technology Co.,Ltd.

Address before: 100102 Beijing city two Chaoyang District Lize Road No. 2 A block two layer

Patentee before: HUADA EMPYREAN SOFTWARE Co.,Ltd.