CN101021882A - Design method for lowering large scale integrated circuit electricity leakage power dissipation - Google Patents

Design method for lowering large scale integrated circuit electricity leakage power dissipation Download PDF

Info

Publication number
CN101021882A
CN101021882A CN 200710078337 CN200710078337A CN101021882A CN 101021882 A CN101021882 A CN 101021882A CN 200710078337 CN200710078337 CN 200710078337 CN 200710078337 A CN200710078337 A CN 200710078337A CN 101021882 A CN101021882 A CN 101021882A
Authority
CN
China
Prior art keywords
storehouse
hvt
lvt
slack
logical block
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 200710078337
Other languages
Chinese (zh)
Other versions
CN100481092C (en
Inventor
陈晓冬
杨小勇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Keen (Chongqing) Microelectronics Technology Co., Ltd.
Original Assignee
Chongqing Cyit (group) Ltd By Share Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chongqing Cyit (group) Ltd By Share Ltd filed Critical Chongqing Cyit (group) Ltd By Share Ltd
Priority to CNB2007100783374A priority Critical patent/CN100481092C/en
Publication of CN101021882A publication Critical patent/CN101021882A/en
Application granted granted Critical
Publication of CN100481092C publication Critical patent/CN100481092C/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

This invention puts forward a design method for carrying out netlist logic combination to large scale IC with a dual-threshold method to reduce leakage and power loss, which first of all defines a set Q and uses the time sequence restriction with a loosen demand on Hvt library and time sequence for combination to get an initial Hvt netlist and carry out time sequence analysis and store the path information with time sequence deviation in the set Q and computing the delay time of each logic block of each path in the set Q and consumed static power loss, converting all logic blocks on the time sequence deviation paths to Lvt library to get the corrected netlist, changing the time sequence demand in Q circuit to strict time sequence restriction and paths converted to Lvt library in the set use Hvt library in priority repeatedly till there is not timed error and the leakage power reaches to the minimum.

Description

A kind of method for designing that reduces large scale integrated circuit electricity leakage power dissipation
Technical field
The present invention relates to a kind of method for designing that reduces large scale integrated circuit electricity leakage power dissipation, specially refer to the method for designing that adopts the dual threshold voltage method to reduce large scale integrated circuit electricity leakage power dissipation.
Background technology
Along with the develop rapidly of semiconductor technology, the integrated level of integrated circuit is more and more higher, and the consumption of power is also increasing.Power consumption of integrated circuit generally is divided into two classes: a class is a dynamic power consumption, the power consumption that the function saltus step causes when being the integrated circuit operate as normal, one class is quiescent dissipation (a steelyard slepsydra electrical power consumed again), is the power consumption that circuit produces when being in inactive state or stationary state.
Along with integrated circuit fabrication process further improves, integrated circuit (IC) design has marched toward the epoch of deep-submicron and even sub-micro, and the metal-oxide semiconductor (MOS) door (abbreviating MOS as) that constitutes SIC (semiconductor integrated circuit) also constantly reduces.Because the existence of short-channel effect causes the increase of leakage current, finally causes electricity leakage power dissipation increasing.At present, the method for designing of comparatively effectively controlling electricity leakage power dissipation adopts the dual threshold voltage method that integrated circuit is netted the table logic synthesis exactly, and the ordered pair circuit is adjusted during again according to the net table that obtains.This method adopts two kinds of logical block cell libraries, a kind of is high threshold standard cell lib (abbreviating the Hvt storehouse as), but mos field effect transistor (abbreviate MOSFET) that time-delay bigger less by leakage current constitutes, another kind is low threshold criteria cell library (abbreviating the Lvt storehouse as), is made of the big but less MOSFET that delays time of leakage current.
Generally speaking, with the leakage current in a kind of Hvt of logical block and Lvt storehouse with there is following proportionate relationship time delay:
Time delay: Lvt: Hvt=1: 2
Leakage current: Lvt: Hvt=20: 1
In order to control electricity leakage power dissipation, just to control leakage current, in net table logic synthesis process, usually the high threshold standard block is applied in the circuit, but, can increases electricity leakage power dissipation too because the high threshold standard block is longer relatively time delay.Therefore, must consider leakage current and optimum matching between time delay in the circuit.
At present, the design cycle of prior art large scale integrated circuit net table logic synthesis (abbreviating Synthesis as) stage low-power consumption is generally: at first, it is comprehensive to net table with Lvt storehouse and relatively stricter temporal constraint, then, according to the net table sequential that obtains, carry out the processing in preferential use Hvt storehouse repeatedly in the path that timing off-set does not take place, till no timing error and power consumption number also reach minimum.
Said method is changed the replacing of only path that timing off-set does not take place being implemented the Hvt storehouse in the operation at non-critical path, therefore, Hvt library unit proportion is less, reduces the DeGrain of circuit power consumption, can't design the integrated circuit that satisfies the low-power consumption requirement sometimes.According to the result of emulation, comparatively speaking, this method speed is slow, and the amount that leakage current reduces is also smaller.
Summary of the invention
The present invention proposes a kind of employing dual threshold method large scale integrated circuit is netted the table logic synthesis, to reduce the method for designing of large scale integrated circuit electricity leakage power dissipation.Method for designing of the present invention is when netting the table logic synthesis to large scale integrated circuit, the definition set Q of elder generation also requires looser temporal constraint to carry out comprehensively with Hvt storehouse and sequential, obtain an initial Hvt storehouse net table and carry out time series analysis, the routing information that timing off-set takes place is kept among the set Q each logical block x of each paths among the set of computations Q iT time delay Ph(x i) and the quiescent dissipation P that consumes h(x i); All change the logical block that takes place on the timing off-set path into the Lvt storehouse, obtain proofreading and correct the net table; The sequential of circuit among the set Q is required to change into the temporal constraint of comparison strictness, and preface constraint at this moment down, the processing that preferential use Hvt storehouse is carried out in the path that replaces to the Lvt storehouse among the pair set Q repeatedly is till no timing error and circuit electricity leakage power dissipation reach minimum.
When the processing in preferential use Hvt storehouse is carried out in method for designing of the present invention replaces to the Lvt storehouse in pair set Q path repeatedly, the difference and quiescent dissipation difference and set up the form E that comprises each logical block difference time delay and quiescent dissipation difference time delay of logical block is calculated T slack time among the set of computations Q of elder generation Slack, search for the logical block of quiescent dissipation difference maximum among the form E, judge the T of this logical block correspondence Slack-Δ t (x i) whether be on the occasion of, if be on the occasion of, then replace the Lvt library unit, and make T with the Hvt library unit Slack=T Slack-Δ t (x i), Δ P (x i) be made as 0, if be negative value, then keep the Lvt library unit constant, Δ P (x i) be made as 0, repeated searching, judgement, all logical blocks in integrated circuit all do not satisfy T Slack-Δ t (x iThe condition of) 〉=0 is finally netted table.
Adopt method for designing of the present invention when time series analysis, to carry the time in the path delay of time in model (the abbreviating wire load mode as) counting circuit according to line, judge whether to satisfy set up setup time (the abbreviating setuptime as) of regulation, satisfied then be considered as not occurring timing off-set, otherwise be considered as occurring timing off-set.
Adopt method for designing of the present invention can more use the logical block of Hvt cell library, give full play to the less characteristic of Hvt cell library logical block leakage current, can seek the reduction of power by means of the supression of leakage current.
Description of drawings
Fig. 1: the FB(flow block) that is integrated circuit net table logic synthesis method in the method for designing of the present invention.
Fig. 2: be that the FB(flow block) that handle in preferential use Hvt storehouse is carried out in the path that replaces to the Lvt storehouse among the method for designing pair set Q of the present invention repeatedly.
Below in conjunction with the drawings and the specific embodiments determination methods of the present invention is described in detail
Accompanying drawing 1 is the FB(flow block) of method for designing integrated circuit net table logic synthesis method of the present invention.As seen from the figure, method for designing of the present invention comprises following key step:
1, definition set Q also carries out comprehensively obtaining Hvt storehouse net table 101 with Hvt storehouse and looser temporal constraint, and adopting the purpose of looser temporal constraint here is in order to add Hvt logical block as much as possible;
2, initial Hvt storehouse net table 101 is carried out time series analysis, promptly net table 101 is carried out delay time analysis:
Carry the time in the path delay of time in model (the abbreviating wire load mode as) counting circuit according to line, that judges whether it satisfy regulation sets up setup time (abbreviating setup time as) (judging promptly whether sequential is offset), if timing off-set do not occur, then net table 101 can directly offer the subsequent handling use; If timing off-set then enters next step;
3, the path that occurs timing off-set in the net table 101 is kept among the set Q, and each logical block x of each paths among the set of computations Q iT time delay Ph(x i) and the quiescent dissipation P that consumes h(x i);
4, the logical block that will take place on the timing off-set path all changes the Lvt storehouse into, obtains proofreading and correct net table 401;
5, the sequential that will gather circuit among the Q requires to change into the temporal constraint of comparison strictness;
6, the path that replaces to the Lvt storehouse among the pair set Q processing of carrying out preferential use Hvt storehouse repeatedly is till no timing error and circuit electricity leakage power dissipation reach minimum;
7, obtain final net table 601.
The net table 601 that finally obtains is exactly a low-power consumption net table that adopts method for designing of the present invention to obtain.Because it is primary comprehensive directly to adopt the Hvt storehouse to carry out,, and help the improvement of sequential so the amount of Hvt library unit improves greatly.
Accompanying drawing 2 is that the FB(flow block) that handle in preferential use Hvt storehouse is carried out in the path that replaces to the Lvt storehouse among the method for designing pair set Q of the present invention repeatedly.As seen from the figure, this treatment scheme comprises following key step:
1, each logical block x of each paths among the set of computations Q iT time delay Pl(x i) and the quiescent dissipation P that consumes l(x i);
2, calculate each logical block x iUnder identical input condition, adopt the difference and quiescent dissipation difference time delay in different units storehouse:
Time delay difference: Δ t (x i)=t Ph(x i)-t Pl(x i)
Quiescent dissipation difference: Δ P (x i)=P l(x i)-P h(x i)
In the formula: t Ph(x i) and t Pl(x i) be respectively logical block x iUnder identical input condition, adopt the time delay in Hvt storehouse and Lvt storehouse, P l(x i) and P h(x i) be respectively logical block x iUnder identical input condition, adopt the quiescent dissipation in Hvt storehouse and Lvt storehouse;
3, T slack time of each paths among the set of computations Q Slack:
T slack = T max - Σ i = 0 i t p ( x i ) + t d ( x i )
In the formula: T MaxBe the confinement time of each paths among the set Q, x iT when adopting the Hvt library unit p(x i)=t Ph(x i), x iT when adopting the Lvt library unit p(x i)=t Pl(x i), t d(x i) be x iAnd the transmission delay between the last logical block;
4, set up form E, comprise T slack time of each paths among the set Q SlackAnd each logical block x of this path correspondence iDifference Δ t (x time delay i) and quiescent dissipation difference DELTA P (x i);
5, T among the search form E Slack〉=0 circuit is searched quiescent dissipation difference DELTA P (x in this circuit i) maximum logical block;
6, judge whether T Slack-Δ t (x i) 〉=0 (Δ t (x in the formula i) be Δ P (x i) respective value), be then with x iLibrary unit become the Hvt library unit, and make T among the form E Slack=T Slack-Δ t (x i), x among the form E iQuiescent dissipation difference DELTA P (x i) be made as 0, no longer participate in search, repeated execution of steps 5, otherwise carry out next step;
7, keep x iThe Lvt library unit constant, Δ P (x i) be made as 0, no longer participate in search, repeated execution of steps 5;
8, repeating step 5,6,7, and all logical blocks in integrated circuit all do not satisfy T Slack-Δ t (x iThe condition of) 〉=0;
9, obtain final net table 601.
According to simulation result, the amount of Hvt library unit has improved approximately 120% in the low-power consumption net table that method for designing of the present invention obtains than the prior art method for designing, and leakage current is approximately 1/3 of prior art method for designing.
Having adopted time delay among the method for designing embodiment of the present invention is Lvt: Hvt=1: 2, and leakage current is Lvt: Hvt=20: 1 cell library, obviously, also can adopt the library file that changes a lot under other process conditions.
Method for designing of the present invention also can have other various embodiments; under the situation of spirit that does not deviate from method for designing of the present invention and essence thereof; those skilled in the art work as can make various corresponding changes or distortion according to method for designing of the present invention, but these corresponding changes or distortion all belong to the claim protection domain of method for designing of the present invention.

Claims (3)

1, a kind of method for designing that adopts the dual threshold method to reduce electricity leakage power dissipation, logical block in the integrated circuit is made up of Lvt storehouse and Hvt storehouse, it is characterized in that: when large scale integrated circuit being netted the table logic synthesis, the definition set Q of elder generation also requires looser temporal constraint to carry out comprehensively with Hvt storehouse and sequential, obtain an initial Hvt storehouse net table and carry out time series analysis, the routing information that timing off-set takes place is kept among the set Q each logical block x of each paths among the set of computations Q iT time delay Ph(x i) and the quiescent dissipation P that consumes h(x i), all change the logical block that takes place on the timing off-set path into the Lvt storehouse, obtain proofreading and correct the net table, the sequential of circuit among the set Q is required to change into the temporal constraint of comparison strictness, and preface constraint at this moment down, and the processing that preferential use Hvt storehouse is carried out in the path that replaces to the Lvt storehouse among the pair set Q repeatedly is till no timing error and circuit electricity leakage power dissipation reach minimum.
2, according to the described method for designing of claim 1, it is characterized in that: when the processing in preferential use Hvt storehouse is carried out in the path that replaces to the Lvt storehouse in pair set Q repeatedly, T slack time of difference time delay of logical block, quiescent dissipation difference and each paths among the first set of computations Q Slack, and set up the form E comprise each logical block difference time delay and quiescent dissipation difference in each paths slack time and the path, the logical block of quiescent dissipation difference maximum among the search form E is judged the T of this logical block correspondence Slack-Δ t (x i) whether be on the occasion of, if be on the occasion of, then replace the Lvt library unit, and make T with the Hvt library unit Slack=T Slack-Δ t (x i), Δ P (x i) be made as 0, if be negative value, then keep the Lvt library unit constant, Δ P (x i) be made as 0, repeated searching, judgement, all logical blocks in integrated circuit all do not satisfy T Slack-Δ t (x iThe condition of) 〉=0 is finally netted table.
3, according to the described method for designing of claim 1, it is characterized in that: carry the time in the path delay of time in model (the abbreviating wire load mode as) counting circuit according to line during time series analysis, judge whether to satisfy set up setup time (the abbreviating setup time as) of regulation, satisfied then be considered as not occurring timing off-set, otherwise be considered as occurring timing off-set.
CNB2007100783374A 2007-03-27 2007-03-27 Design method for lowering large scale integrated circuit electricity leakage power dissipation Active CN100481092C (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNB2007100783374A CN100481092C (en) 2007-03-27 2007-03-27 Design method for lowering large scale integrated circuit electricity leakage power dissipation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2007100783374A CN100481092C (en) 2007-03-27 2007-03-27 Design method for lowering large scale integrated circuit electricity leakage power dissipation

Publications (2)

Publication Number Publication Date
CN101021882A true CN101021882A (en) 2007-08-22
CN100481092C CN100481092C (en) 2009-04-22

Family

ID=38709644

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007100783374A Active CN100481092C (en) 2007-03-27 2007-03-27 Design method for lowering large scale integrated circuit electricity leakage power dissipation

Country Status (1)

Country Link
CN (1) CN100481092C (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101826124A (en) * 2009-03-06 2010-09-08 台湾积体电路制造股份有限公司 System and method for performance modeling of integrated circuit
CN104573148A (en) * 2013-10-17 2015-04-29 北京华大九天软件有限公司 Method for lowering electricity leakage power consumption of time sequence device in circuit
CN107526874A (en) * 2017-07-28 2017-12-29 广州星海集成电路基地有限公司 A kind of low power consumption integrated circuit design method based on dual threashold threshold voltage
CN110457868A (en) * 2019-10-14 2019-11-15 广东高云半导体科技股份有限公司 The comprehensive optimization method and device of fpga logic, system
CN112214097A (en) * 2020-10-20 2021-01-12 天津飞腾信息技术有限公司 Method, device, equipment and storage medium for reducing low threshold unit

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101826124A (en) * 2009-03-06 2010-09-08 台湾积体电路制造股份有限公司 System and method for performance modeling of integrated circuit
CN101826124B (en) * 2009-03-06 2016-06-29 台湾积体电路制造股份有限公司 The System and method for of performance modeling of integrated circuit
CN104573148A (en) * 2013-10-17 2015-04-29 北京华大九天软件有限公司 Method for lowering electricity leakage power consumption of time sequence device in circuit
CN104573148B (en) * 2013-10-17 2017-11-14 北京华大九天软件有限公司 A kind of method of sequential element leakage power consumption in reduction circuit
CN107526874A (en) * 2017-07-28 2017-12-29 广州星海集成电路基地有限公司 A kind of low power consumption integrated circuit design method based on dual threashold threshold voltage
CN110457868A (en) * 2019-10-14 2019-11-15 广东高云半导体科技股份有限公司 The comprehensive optimization method and device of fpga logic, system
CN112214097A (en) * 2020-10-20 2021-01-12 天津飞腾信息技术有限公司 Method, device, equipment and storage medium for reducing low threshold unit

Also Published As

Publication number Publication date
CN100481092C (en) 2009-04-22

Similar Documents

Publication Publication Date Title
Johnson et al. Models and algorithms for bounds on leakage in CMOS circuits
US7400175B2 (en) Recycling charge to reduce energy consumption during mode transition in multithreshold complementary metal-oxide-semiconductor (MTCMOS) circuits
US7797646B2 (en) Method for using mixed multi-Vt devices in a cell-based design
CN100481092C (en) Design method for lowering large scale integrated circuit electricity leakage power dissipation
US7352212B2 (en) Opposite-phase scheme for peak current reduction
US7958476B1 (en) Method for multi-cycle path and false path clock gating
Augsburger et al. Combining dual-supply, dual-threshold and transistor sizing for power reduction
Rahman et al. Design automation tools and libraries for low power digital design
CN110428048A (en) A kind of binaryzation neural network accumulator circuit based on simulation time delay chain
Rauchenecker et al. Exploiting reversible logic design for implementing adiabatic circuits
Kahng et al. Active-mode leakage reduction with data-retained power gating
Golanbari et al. Aging guardband reduction through selective flip-flop optimization
Golanbari et al. Selective flip-flop optimization for reliable digital circuit design
CN113868991B (en) Design method of digital standard cell under near-threshold power supply voltage
CN115796088A (en) Voltage distribution method for double-track standard cell library
Mohanty et al. Simultaneous power fluctuation and average power minimization during nano-CMOS behavioral synthesis
Chen et al. A novel flow for reducing clock skew considering NBTI effect and process variations
Miranda When every atom counts
JPH1092942A (en) Apparatus and method for optimizing semiconductor integrated circuit
Li et al. A high performance low power implementation scheme for FSM
CN112134557B (en) Wide voltage self-adaptive adjusting system and method based on pulse latch time sequence monitoring
Jeong Implementation of low power adder design and analysis based on power reduction technique
Murthy et al. A novel design of multiplexer based full-adder cell for power and propagation delay optimizations
Aylapogu Design of Hybrid Full Adders for Power Minimization and High Speed using XOR and XNOR Gates
Singh et al. Design and Optimization of Power Efficient Shift Register Using Five-Transistor Based D-Flip flop.

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: CHONGQING CYIT COMMUNICATION TECHNOLOGY CO., LTD.

Free format text: FORMER OWNER: CHONGQING CHONGYOU XINKE (GROUP) CO., LTD.

Effective date: 20081017

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20081017

Address after: Huang Chongqing Nan'an District No. 1 Wu Fort Park Post encoding: 400065

Applicant after: Chongqing City Communication & Technology Co., Ltd.

Address before: B, 4 floor, zone 401121, Neptune science building, Chongqing, Yubei District

Applicant before: Chongqing cyit (Group) Limited by Share Ltd

C14 Grant of patent or utility model
GR01 Patent grant
PE01 Entry into force of the registration of the contract for pledge of patent right

Denomination of invention: Design method for lowering large scale integrated circuit electricity leakage power dissipation

Effective date of registration: 20141117

Granted publication date: 20090422

Pledgee: Chongqing cyit (Group) Limited by Share Ltd

Pledgor: Chongqing City Communication & Technology Co., Ltd.

Registration number: 2014500000017

PLDC Enforcement, change and cancellation of contracts on pledge of patent right or utility model
PC01 Cancellation of the registration of the contract for pledge of patent right

Date of cancellation: 20161020

Granted publication date: 20090422

Pledgee: Chongqing cyit (Group) Limited by Share Ltd

Pledgor: Chongqing City Communication & Technology Co., Ltd.

Registration number: 2014500000017

PLDC Enforcement, change and cancellation of contracts on pledge of patent right or utility model
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170426

Address after: Nanping Street 400060 Chongqing Nan'an District Nancheng Road No. 199 left attached to the floor 403

Patentee after: Keen (Chongqing) Microelectronics Technology Co., Ltd.

Address before: 400065 Chongqing Nan'an District huangjuezhen pass Fort Park No. 1

Patentee before: Chongqing City Communication & Technology Co., Ltd.