CN101793941A - Creation method of FPGA (Field Programmable Gate Array ) configuration file - Google Patents

Creation method of FPGA (Field Programmable Gate Array ) configuration file Download PDF

Info

Publication number
CN101793941A
CN101793941A CN200910200706A CN200910200706A CN101793941A CN 101793941 A CN101793941 A CN 101793941A CN 200910200706 A CN200910200706 A CN 200910200706A CN 200910200706 A CN200910200706 A CN 200910200706A CN 101793941 A CN101793941 A CN 101793941A
Authority
CN
China
Prior art keywords
configuration file
fpga
file
design
bit stream
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910200706A
Other languages
Chinese (zh)
Other versions
CN101793941B (en
Inventor
叶守银
张志勇
祁建华
徐惠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sino IC Technology Co Ltd
Original Assignee
Sino IC Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sino IC Technology Co Ltd filed Critical Sino IC Technology Co Ltd
Priority to CN200910200706.1A priority Critical patent/CN101793941B/en
Publication of CN101793941A publication Critical patent/CN101793941A/en
Application granted granted Critical
Publication of CN101793941B publication Critical patent/CN101793941B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

The invention discloses a creation method of an FPGA configuration file, comprising the following steps of: (1) establishing a new design file by using a software tool; (2) manually realizing at least one logic design of a configurable logic module or an input and output module; (3) creating a bit stream file of the configurable logic module or the input and output module; and (4) extracting and shifting the bit stream file in step (3) into the FPGA configuration file by using special software for analyzing bit stream data. The invention avoids a test configuration design from being limited by some design rules and directly processes the configuration file to achieve the purpose of rapidly creating the test configuration file.

Description

The generation method of FPGA configuration file
Technical field
The present invention relates to a kind of field programmable gate array method of testing of (Field Programmable Gate Array is called for short FPGA), be specifically related to a kind of generation method of testing the FPGA configuration file of usefulness.
Background technology
The FPGA field programmable device is widely used in fields such as communication, automobile, aviation, national defence.Utilization FPGA development product finally all can generate the bit stream configuration file with specific function, and (FPGA need read configuration data from the outside when starting, this file that comprises configuration data is referred to as configuration file), configuration file is downloaded among the FPGA when system start-up, makes FPGA have our desired specific function.The generation of configuration file is the key of FPGA product development.FPGA Products Development process generally has: several flow processs such as generation of design input, emulation, comprehensive, automatic placement and routing, configuration file.Finishing of this a series of actions need be by means of the dedicated development tool system, as the ISE of Xilinx company, the QuartusII of altera corp, the development systems such as IspLever of Lattice company.
FPGA is a kind of electric erasable, the electrically rewritable device, though similar with the standard gate array, have special architecture and logical block, the method for testing of general DLC (digital logic circuit) does not fit into the test of fpga chip.The FPGA electric erasable, the architecture that the electrically rewritable device is special, make completeness test and fault analysis, the assessment of failure of circuit become complicated more, because its internal structure has highly repeatability, thereby measurability is very strong, have very high difficulty but will finish the high fault coverage test, must carry out accurate design structure, make each test profile have the highest testing efficiency test file by limited test configurations.The limitation that conventional automatic placement and routing is subjected to the FPGA design rule can not satisfy this designing requirement, must seek a kind of test profile of FPGA efficiently generation method.
As shown in Figure 1, the design of traditional F PGA configuration file generates, and need carry out design synthesis then according to test request at first through repeatedly design input, functional simulation, modification optimization, design realizes processes such as (automatic placement and routings), thereby the generation configuration file carries out the FPGA test.Input is designed in the place of workload maximum exactly in this flow process, error message can constantly appear in simulation process, need to optimize step by step to revise, each step in the process all must meet the various rules of FPGA design, in case violated these rules, just can't proceed, this rule has been limited to the design of FPGA test profile, the design of test profile is the logical design of carrying out at the fpga chip selftest, rather than Application Design.
Summary of the invention
Technical matters to be solved by this invention provides a kind of generation method of FPGA configuration file, and it need can not realize the quick generation of configuration file by the complicated approach of tradition, is mainly used in the generation of the test profile of fpga chip.
In order to solve above technical matters, the invention provides a kind of generation method of FPGA configuration file, comprise the steps:
(1) sets up new design document with Software tool;
(2) manually realize the logical design of at least one configurable logic blocks (CLB) or input/output module (IO);
(3) bit stream file of described configurable logic blocks of generation or input/output module;
(4) with bit stream data dedicated analysis software the described bit stream file of step (3) is extracted and moves in the described FPGA configuration file.
Preferably, the generation method of FPGA configuration file of the present invention, step (4) are according to data and resource mapping relation, extract and move with the mode of duplicating, and make each resource among the FPGA meet test request.
Preferably, the generation method of FPGA configuration file of the present invention, described configuration file is a test profile.
The present invention has avoided the restriction of some design rules to the test configurations design, directly configuration file is handled, and reaches the purpose of quick generation test profile.
Description of drawings
Below in conjunction with the drawings and specific embodiments the present invention is described in further detail.
Fig. 1 is the process flow diagram that prior art generates the FPGA configuration file;
Fig. 2 is the process flow diagram of the generation method of FPGA configuration file of the present invention;
Fig. 3 is a synoptic diagram of setting up new design document in the embodiments of the invention with the FPGA edit tool;
Fig. 4 is a synoptic diagram of manually realizing the logical design of CLB in the embodiments of the invention;
Fig. 5 is the synoptic diagram that generates the CLB bit stream file in the embodiments of the invention;
Fig. 6 is the synoptic diagram that carries out the CLB configuration extraction in the embodiments of the invention with bit stream data dedicated analysis software;
Fig. 7 carries out the synoptic diagram that the CLB configuration is moved with bit stream data dedicated analysis software in the embodiments of the invention;
Fig. 8 is a synoptic diagram of preserving the final test configuration file in the embodiments of the invention.
Embodiment
In order to improve test failure coverage rate and the calculating of being convenient to fault coverage, the modular arrangements mode is taked in the design of FPGA test configurations, in a configuration file, design the configuration module of at least a CLB or IO, in whole FPGA, repeat such configuration module (we know that FPGA comprises many identical in structure CLB matrixes and IO matrix), the test coverage of the configurable resource of each CLB or IO is easy to through calculating, and the test coverage of the configurable resource of so whole FPGA also is easy to calculate.Present technique is by one or a few CLB in the extraction configuration file or the configuration data of IO, on the CLB module of under the help of bit stream data dedicated analysis software it being moved any appointment of FPGA, re-construct the FPGA configuration file, can generate new test configurations fast like this.
Be illustrated in figure 2 as the flow process of designing and developing of the present invention, mainly comprise: analytical test requires to set up configuration file; Manually carry out modular design such as CLB, IO; Carry out data extract and move (will carry out), finish configuration file, can carry out the FPGA test at last as required according to data and resource mapping relation.
Method of the present invention has been avoided the restriction of some design rules to the test configurations design, reaches the purpose of quick generation test profile.Directly with test request manual connection and generation CLB or IO modules configured data of realizing in FPGA edit tool (EDITOR), the means of moving of duplicating by bit stream data dedicated analysis software, obtain the test profile of all configurable resources among the FPGA, and generate test patterns.
See also Fig. 3 to a specific embodiment shown in Figure 8.Comprise the steps:
(1) sets up the design document (as Fig. 3) that new name is called XC2S100 with FPGA EDITOR.
(2) manually realize the logical design (as Fig. 4) of CLB.
(3) generate the CLB bit stream file, this document name is called CLBR1C15.Bit (as Fig. 5).
(4) carry out the CLB configuration extraction and move with bit stream data dedicated analysis software.Specifically comprise:
Open the step (as Fig. 6) of CLBR1C15.Bit bit stream file;
The CLB data field is chosen in click, according to data and resource mapping relation, extracts or move the CLB data with the mode of copy, makes each resource among the FPGA meet the step (as Fig. 7) of the requirement of expectation.
(5) preserve definitive document and generate new test profile test-bitstream.bit (as Fig. 8).
The present invention has following characteristics:
(1) accurately designed the CLB configuration module;
(2) mapping relations of CLB module and IO module and configuration file have been taken into full account.
(3) avoided of the restriction of some design rules, directly configuration file has been handled, reached the purpose of quick generation test profile the test configurations design.

Claims (3)

1. the generation method of a FPGA configuration file is characterized in that, comprises the steps:
(1) sets up new design document with Software tool;
(2) manually realize the logical design of at least one configurable logic blocks or input/output module;
(3) bit stream file of described configurable logic blocks of generation or input/output module;
(4) with bit stream data dedicated analysis software the described bit stream file of step (3) is extracted and moves in the described FPGA configuration file.
2. the generation method of FPGA configuration file as claimed in claim 1 is characterized in that, step (4) is according to data and resource mapping relation, extracts and moves with the mode of duplicating, and makes each resource among the FPGA meet test request.
3. the generation method of FPGA configuration file as claimed in claim 1 or 2 is characterized in that, described configuration file is a test profile.
CN200910200706.1A 2009-12-24 2009-12-24 Creation method of FPGA (Field Programmable Gate Array ) configuration file Active CN101793941B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN200910200706.1A CN101793941B (en) 2009-12-24 2009-12-24 Creation method of FPGA (Field Programmable Gate Array ) configuration file

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN200910200706.1A CN101793941B (en) 2009-12-24 2009-12-24 Creation method of FPGA (Field Programmable Gate Array ) configuration file

Publications (2)

Publication Number Publication Date
CN101793941A true CN101793941A (en) 2010-08-04
CN101793941B CN101793941B (en) 2015-04-01

Family

ID=42586746

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910200706.1A Active CN101793941B (en) 2009-12-24 2009-12-24 Creation method of FPGA (Field Programmable Gate Array ) configuration file

Country Status (1)

Country Link
CN (1) CN101793941B (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102156789A (en) * 2011-04-27 2011-08-17 迈普通信技术股份有限公司 System and method for automatically generating constraint file of field programmable gate array (FPGA)
CN102253329A (en) * 2011-06-17 2011-11-23 中国电子科技集团公司第五十八研究所 Method for testing field programmable gate array (FPGA) single-long line slant switches
CN102288870A (en) * 2011-05-16 2011-12-21 中国电子科技集团公司第五十八研究所 Testing method for FPGA (field programmable gate array) single long wire and directly connected switch
CN102445636A (en) * 2011-09-02 2012-05-09 中国电子科技集团公司第五十八研究所 Method for testing Hex lines and diagonal interconnect switches thereof in FPGA (field-programmable gate array)
CN103164228A (en) * 2013-03-29 2013-06-19 北京经纬恒润科技有限公司 Method and device for generating field-programmable gate array (FPGA) procedure
CN106777729A (en) * 2016-12-26 2017-05-31 中核控制系统工程有限公司 A kind of algorithms library simulation and verification platform implementation method based on FPGA
CN107895087A (en) * 2017-11-29 2018-04-10 中科亿海微电子科技(苏州)有限公司 The method and system that the emulation of PLD module level automatically generates with code
CN111123083A (en) * 2019-12-06 2020-05-08 国家电网有限公司 Test system and method for FPGA PLL IP core
CN111142013A (en) * 2019-12-31 2020-05-12 无锡市同飞科技有限公司 MAX7000 series CPLD (Complex programmable logic device) based logic reduction method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101246510A (en) * 2008-02-28 2008-08-20 复旦大学 Programmable logic device hard structure universal modeling method
CN101363900A (en) * 2007-09-30 2009-02-11 北京华大泰思特半导体检测技术有限公司 Method for testing FPGA device
US20090154534A1 (en) * 2007-12-14 2009-06-18 Microsoft Corporation Software defined cognitive radio

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101363900A (en) * 2007-09-30 2009-02-11 北京华大泰思特半导体检测技术有限公司 Method for testing FPGA device
US20090154534A1 (en) * 2007-12-14 2009-06-18 Microsoft Corporation Software defined cognitive radio
CN101246510A (en) * 2008-02-28 2008-08-20 复旦大学 Programmable logic device hard structure universal modeling method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
邢虹: "FPGA位流生成及其压缩", 《中国优秀硕士学位论文全文数据库(电子期刊)信息科技辑》 *

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102156789A (en) * 2011-04-27 2011-08-17 迈普通信技术股份有限公司 System and method for automatically generating constraint file of field programmable gate array (FPGA)
CN102156789B (en) * 2011-04-27 2013-01-02 迈普通信技术股份有限公司 System and method for automatically generating constraint file of field programmable gate array (FPGA)
CN102288870B (en) * 2011-05-16 2013-07-03 中国电子科技集团公司第五十八研究所 Testing method for FPGA (field programmable gate array) single long wire and directly connected switch
CN102288870A (en) * 2011-05-16 2011-12-21 中国电子科技集团公司第五十八研究所 Testing method for FPGA (field programmable gate array) single long wire and directly connected switch
CN102253329A (en) * 2011-06-17 2011-11-23 中国电子科技集团公司第五十八研究所 Method for testing field programmable gate array (FPGA) single-long line slant switches
CN102253329B (en) * 2011-06-17 2013-04-17 中国电子科技集团公司第五十八研究所 Method for testing field programmable gate array (FPGA) single-long line slant switches
CN102445636B (en) * 2011-09-02 2013-11-13 中国电子科技集团公司第五十八研究所 Method for testing Hex lines and diagonal interconnect switches thereof in FPGA (field-programmable gate array)
CN102445636A (en) * 2011-09-02 2012-05-09 中国电子科技集团公司第五十八研究所 Method for testing Hex lines and diagonal interconnect switches thereof in FPGA (field-programmable gate array)
CN103164228A (en) * 2013-03-29 2013-06-19 北京经纬恒润科技有限公司 Method and device for generating field-programmable gate array (FPGA) procedure
CN103164228B (en) * 2013-03-29 2015-12-23 北京经纬恒润科技有限公司 A kind of generation method of field programmable gate array program and device
CN106777729A (en) * 2016-12-26 2017-05-31 中核控制系统工程有限公司 A kind of algorithms library simulation and verification platform implementation method based on FPGA
CN107895087A (en) * 2017-11-29 2018-04-10 中科亿海微电子科技(苏州)有限公司 The method and system that the emulation of PLD module level automatically generates with code
CN107895087B (en) * 2017-11-29 2021-02-26 中科亿海微电子科技(苏州)有限公司 Method and system for automatically generating module-level simulation configuration code of programmable logic circuit
CN111123083A (en) * 2019-12-06 2020-05-08 国家电网有限公司 Test system and method for FPGA PLL IP core
CN111123083B (en) * 2019-12-06 2022-04-29 国家电网有限公司 Test system and method for FPGA PLL IP core
CN111142013A (en) * 2019-12-31 2020-05-12 无锡市同飞科技有限公司 MAX7000 series CPLD (Complex programmable logic device) based logic reduction method
CN111142013B (en) * 2019-12-31 2021-12-07 无锡市同飞科技有限公司 MAX7000 series CPLD (Complex programmable logic device) based logic reduction method

Also Published As

Publication number Publication date
CN101793941B (en) 2015-04-01

Similar Documents

Publication Publication Date Title
CN101793941A (en) Creation method of FPGA (Field Programmable Gate Array ) configuration file
CN103678745B (en) A kind of cross-platform multi-level integrated design system for FPGA
CN102466776B (en) Batch testing method for complex programmable logic device
US20090171606A1 (en) Semiconductor manufacture performance analysis
CN103500139B (en) A kind of communication software integrated test system and method for testing
CN104865518A (en) CLB dynamic aging configuration method of SRAM type FPGA
CN104515947A (en) Rapid configuration and test method for programmable logic device in system programming
CN113569524B (en) Method for extracting clock tree based on comprehensive netlist in chip design and application
CN105868114A (en) FPGA software system and all module testing system and method thereof
US6240543B1 (en) Integration of manufacturing test of multiple system on a chip without substantial simulation
CN105574219B (en) The method of non-standard cell library logic unit automatic placement and routing
CN114357916A (en) Chip FPGA prototype verification method and system
CN111400979A (en) SOC simulation method, system, electronic device and storage medium
CN103699422A (en) System and method for performing testability design on verilog netlist description of circuit through Perl
CN115496022A (en) System-level digital circuit upgrade optimization method and device
CN115587480A (en) Digital simulation method and digital simulation device
CN104536931A (en) Method and system for automatic interconnection of multiple FPGAs in hardware simulation
CN111142013B (en) MAX7000 series CPLD (Complex programmable logic device) based logic reduction method
CN114416460A (en) Method and simulation system for analyzing baseband performance
CN1936862A (en) Inlaid system detection method and system
CN101963943A (en) Mapping method for searching FPGA configuration files and CLB block configuration resources
Liu Model based design workflow for FPGA compliance with DO-254 standard
CN105372582B (en) A kind of generation method and system of module level boundary scan chain
Ji et al. More effective randomly-designed microfluidics
CN113075911B (en) Automatic verification method and system for nuclear power plant safety-level digital instrument control system software

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: 201203 Shanghai Zhangjiang road 351 GuoShouJing No. 2 No. 1 floor

Applicant after: Shanghai Hualing Integrated Circuit Technology Co., Ltd.

Address before: 201203 Shanghai Zhangjiang road 351 GuoShouJing No. 2 No. 1 floor

Applicant before: Shanghai Hualing Integrated Circuit Co., Ltd.

COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: SHANGHAI HUALING INTEGRATED CIRCUIT CO., LTD. TO: SHANGHAI HUALING INTEGRATED CIRCUIT TECHNOLOGY CO., LTD.

C14 Grant of patent or utility model
GR01 Patent grant