CN104347349B - 清洗用于混合接合的衬底表面的机制 - Google Patents

清洗用于混合接合的衬底表面的机制 Download PDF

Info

Publication number
CN104347349B
CN104347349B CN201310471415.2A CN201310471415A CN104347349B CN 104347349 B CN104347349 B CN 104347349B CN 201310471415 A CN201310471415 A CN 201310471415A CN 104347349 B CN104347349 B CN 104347349B
Authority
CN
China
Prior art keywords
semiconductor crystal
crystal wafer
cleaning
mixing
engagement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310471415.2A
Other languages
English (en)
Other versions
CN104347349A (zh
Inventor
陈升照
黄志辉
杜友伦
吴政达
蔡嘉雄
陈晓萌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104347349A publication Critical patent/CN104347349A/zh
Application granted granted Critical
Publication of CN104347349B publication Critical patent/CN104347349B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0381Cleaning, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05547Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80096Transient conditions
    • H01L2224/80097Heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Robotics (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明提供了用于清洗用来混合接合的半导体晶圆表面的机制的实施例。一种用于清洗用来混合接合的半导体晶圆的表面方法包括:提供半导体晶圆,并且半导体晶圆具有嵌入在绝缘层中的导电焊盘。该方法还包括:对半导体晶圆的表面实施等离子体工艺,并且在导电结构的表面上形成金属氧化物。该方法还包括:使用清洗液实施清洗工艺,以与金属氧化物进行还原反应,使得在导电结构的表面上形成金属‑氢键。该方法还包括:在真空下将半导体晶圆传送至接合室,以用于混合接合。本发明还提供了用于混合接合的机制和集成系统的实施例。

Description

清洗用于混合接合的衬底表面的机制
技术领域
本发明涉及半导体领域,更具体地,涉及清洗用于混合接合的衬底表面的方法以及系统。
背景技术
半导体器件用于各种电子应用中,诸如,个人计算机、移动电话、数码相机以及其他电子设备。通常通过在半导体衬底上方依次地沉积材料的绝缘层或介电层、导电层以及半导电层,并且使用光刻图案化各种材料层,以在其上形成电路部件和元件来制造半导体器件。通常在单个半导体晶圆上制造很多集成电路,并且通过沿着划线在集成电路之间划片,将晶圆上的管芯单一化为个体管芯。例如通常以多芯片模块或其他类型的封装的方式将个体管芯独立封装。
半导体产业通过不断减小最小特征尺寸来不断提高各种电子部件(例如,晶体管、二极管、电阻器以及电容器等)的集成度,这使得更多的部件集成到给定的区域中。在一些应用中,这些较小电子部件还需要比过去的封装占用更小面积的较小封装。
三维集成电路(3DIC)是半导体封装的最新发展,其中,多个半导体管芯相互堆叠,诸如,叠层封装(PoP)和系统级封装(SiP)封装技术。通过将管芯放置在半导体晶圆级上的管芯上方制备一些3DIC。例如,由于位于堆叠的管芯之间的互连件的长度减小,使得3DIC具有提高的集成度和其他优势(诸如较快的速度和较高的带宽)。然而,3DIC存在很多挑战。发明内容
根据本发明的一个方面,提供了一种清洗用于混合接合的半导体晶圆表面的方法,包括:提供半导体晶圆,半导体晶圆具有嵌入在绝缘层中的导电焊盘和形成在导电焊盘的表面上的金属氧化物层;对半导体晶圆的表面实施等离子体工艺;在等离子体工艺之后,使用清洗液对半导体晶圆的表面实施清洗工艺,金属氧化物层被还原,并且在导电焊盘的表面上形成金属-氢键;以及在真空下,将半导体晶圆传送至接合室以实施混合接合。
优选地,清洗液包括:柠檬酸、氢氟酸(HF)或氢氧化四甲基铵(TMAH)。
优选地,柠檬酸的浓度在约0.25%至约10%的范围内。
优选地,氢氟酸(HF)的浓度在约0.1%至约0.5%的范围内。
优选地,氢氧化四甲基铵(TMAH)的浓度在约0.25%至约0.5%的范围内。
优选地,提供半导体晶圆还包括:在绝缘层中形成开口;形成扩散阻挡层以对开口加衬;以及在扩散阻挡层上形成导电材料以形成导电焊盘。
优选地,扩散阻挡层由钛(Ti)、钽(Ta)、氮化钛(TiN)、氮化钽(TaN)或氮化铝(AlN)制成。
优选地,绝缘层由二氧化硅、氧化硅、氮化硅、氮氧化硅或未掺杂硅玻璃(USG)、掺磷氧化物(PSG)、掺硼氧化物(BSG)或掺硼磷氧化物(BPSG)制成。
优选地,导电焊盘包括由铜(Cu)、铝(Al)、钨(W)、钛(Ti)或钽(Ta)制成的导电材料。
优选地,实施等离子体工艺包括:将半导体晶圆的表面暴露于氩(Ar)或氮(N2)中。
优选地,该方法还包括:在实施等离子体工艺之前,对半导体晶圆的表面实施化学机械抛光(CMP)工艺;以及在化学机械抛光工艺之后并且在实施等离子体工艺之前,实施后CMP清洗工艺。
优选地,实施等离子体工艺、实施清洗工艺,并且将半导体晶圆传送至接合室都在集成系统中实施。
根据本发明的另一方面,提供了一种用于半导体晶圆的混合接合,包括:提供第一半导体晶圆和第二半导体晶圆,第一半导体晶圆和第二半导体晶圆均具有嵌入在绝缘层中的导电焊盘;分别对第一半导体晶圆的表面和第二半导体晶圆的表面实施等离子体工艺;使用清洗液分别对第一半导体晶圆的表面和第二半导体晶圆的表面实施清洗工艺;以及将第一半导体晶圆接合至第二半导体晶圆。
优选地,清洗液包括:柠檬酸、氢氟酸(HF)或氢氧化四甲基铵(TMAH)。
优选地,在约300℃至约400℃范围内的温度下,实施将第一半导体晶圆接合至第二半导体晶圆。
优选地,混合接合按照以下顺序实施:对第一半导体晶圆实施等离子体工艺;在对第一半导体晶圆实施等离子体工艺之后,对第一半导体晶圆实施清洗工艺;在对第一半导体晶圆实施清洗工艺之后,将第一半导体晶圆传送至混合接合室;在将第一半导体晶圆传送至混合接合室之后,对第二半导体晶圆实施等离子体工艺;在对第二半导体晶圆实施等离子体工艺之后,对第二半导体晶圆实施清洗工艺;在对第二半导体晶圆实施清洗工艺之后,将第二半导体晶圆传送至混合接合室;在将第二半导体晶圆传送至混合接合室之后,将第一半导体晶圆接合至第二半导体晶圆。
根据本发明的又一方面,提供了一种用于混合接合的集成系统,包括:等离子体室,连接至传送室;清洗室,连接至传送室;以及混合接合室,连接至传送室,混合接合室被配置为接合两个半导体晶圆以形成金属-金属接合和非金属-非金属接合。
优选地,该集成系统还包括:机械手,设置在传送室中,机械手被配置为将半导体晶圆从等离子体室传送至清洗室,然后传送至混合接合室。
优选地,该集成系统还包括:清洗供应器,设置在清洗室中,清洗供应器被配置为供给清洗液,以还原半导体晶圆的导电焊盘上的金属氧化物层并且在半导体晶圆的导电焊盘上形成金属-氢键。
优选地,在真空下实施将半导体晶圆从等离子体室传送至清洗室,然后传送至混合接合室。
附图说明
为了更完整地理解本发明及其优点,现在结合附图参考以下描述,其中:
图1示出了根据一些实施例的部分半导体晶圆的截面图;
图2示出了根据一些实施例的表示接合结构的截面图;
图3A至图3F示出了根据一些实施例的表示清洗用于混合接合的半导体晶圆表面的多个阶段的截面图;
图4示出了根据一些实施例的用于混合接合的集成系统。
具体实施方式
应当理解,以下公开内容提供了用于实施本公开的不同特征的不同实施例或实例。以下描述了部件和布置的具体实例,以简化本公开。当然,这些仅是实例,并不旨在限制本发明。此外,在说明书中,在第二工艺之前实施第一工艺可以包括在第一工艺之后立即实施第二工艺的实施例,还可以包括在第一和第二工艺之间实施额外工艺的实施例。为了简单和清楚起见,可以按不同比例任意绘制各种部件。而且,在说明书中,在第二部件上方或之上形成第一部件可以包括第一和第二部件以直接或间接接触的方式形成的实施例。相同的参考数字代表类似的元件,并且为了简洁起见,不被重复。
混合接合是一种接合工艺,用于接合衬底以形成3DIC的。混合接合包括至少两种类型的接合,诸如,金属-金属接合和非金属-非金属接合。
图1示出了根据一些实施例的表示部分半导体晶圆100的截面图。与半导体晶圆100类似的一个或多个半导体晶圆可以接合至半导体晶圆100,以形成3DIC结构。半导体晶圆100包括半导体衬底102,其由硅或其他半导体材料制成。衬底102可以包括位于单晶硅上方的氧化硅。可以使用化合物半导体(诸如,GaAs、InP、Si/Ge或SiC)来代替硅。衬底102可以是绝缘体上硅(SOI)或者绝缘体上锗(GOI)衬底。
衬底102包括在接近衬底102的顶面形成的器件区104。器件区104可以具有多种器件元件。形成在衬底102中的器件元件的实例包括晶体管(例如,金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极结型晶体管(BJT)、高压晶体管、高频晶体管、p沟道和/或n沟道场效应晶体管(PFET/NFET)等)、二极管和/或其他可应用元件。实施多种工艺(诸如沉积、蚀刻、注入、光刻、退火和/或其他合适的工艺)以形成器件元件。在一些实施例中,在前段制程(FEOL)工艺中在衬底102中形成器件区104。在一些实施例中,衬底102还包括用导电材料填充的提供从衬底的底部至顶部的连接的衬底通孔(TSV)105。
在衬底102上方(例如,在器件区104上方)形成金属化结构106。在一些实施例中,在后段制程(BEOL)工艺中形成金属化结构106。金属化结构106包括诸如导线108、通孔110以及导电焊盘(导电结构)112的互连结构。如图1所示,导电焊盘112是形成在半导体晶圆100的顶面中的接触焊盘(或接合焊盘)。在金属化结构106中,一些通孔110将导电焊盘112连接至导线108,而其他通孔110连同导电金属线108一起将导电焊盘112连接至衬底102的器件区104。通孔110还可以连接不同金属化层(未示出)中的导线108。
在一些实施例中,导线108、通孔110以及导电焊盘112分别包括导电材料,诸如铜(Cu)、铝(Al)、钨(W)、钛(Ti)或钽(Ta)。
如图1所示,导电焊盘112形成在绝缘材料114中。绝缘材料114是介电材料,诸如二氧化硅、氧化硅、氮化硅、氮氧化硅或未掺杂硅的玻璃(USG)、掺磷氧化物(PSG)、掺硼氧化物(BSG)或掺硼磷氧化物(BPSG)。在一些实施例中,通过等离子体增强化学汽相沉积(PECVD)形成绝缘层114。在一些实施例中,绝缘层114包括介电材料的多个介电层。然而,示出的金属化结构106仅用于说明目的。金属化结构106可以包括其他结构,并且可以包括一条或多条导线和通孔层。
根据一些实施例,图1中的区域M用于示出清洗半导体晶圆100的表面以用于混合接合的机制。如图1所示,区域M包括设置在通孔110之上的导电焊盘112。导电焊盘112和通孔110被绝缘材料114围绕。
图2示出了根据一些实施例的表示接合结构的截面图。在图2中,半导体晶圆100通过混合接合而接合至半导体晶圆150。应当注意,虽然图2仅示出了半导体晶圆100和150的一些元件(例如,图1中的区域M中所示的那些元件),但是其他元件也可以包括在半导体晶圆100和150中。如上所述,晶圆100包括形成在通孔110上方的导电焊盘112。导电焊盘112和通孔110被绝缘材料114包围。在一些实施例中,形成开口并且用导电材料132填充。在一些实施例中,导电材料132由铜(Cu)、铝(Al)、钨(W)、钛(Ti)、钽(Ta)或其他可应用材料制成。在一些实施例中,导电材料132由铜或铜合金制成。根据一些实施例,由于关系到金属(诸如铜)在绝缘层114中扩散的问题,导电焊盘112还包括扩散阻挡层113以阻挡铜扩散。然而,当导电材料132不是铜(例如,Al)时,不需要扩散阻挡层113。在一些实施例中,扩散阻挡层113由钛(Ti)、钽(Ta)、氮化钛(TaN)、氮化钽(TaN)、氮化铝(AlN)或它们的多层或它们的组合制成。在一些实施例中,扩散阻挡层113由铜扩散阻挡材料制成。在一些实施例中,扩散阻挡层113由聚合物(诸如,苯并环丁烯聚合物(BCB)聚合物)制成。在一些实施例中,扩散阻挡层113的厚度在约至约范围内。
半导体晶圆150与半导体晶圆100类似,并且包括导电焊盘152、通孔156以及绝缘材料154。导电焊盘152与导电焊盘112类似,并且通孔156与通孔110类似。绝缘材料154与绝缘材料114类似。导电焊盘152包括导电材料162和扩散阻挡层153。导电材料162与导电材料132类似,并且扩散阻挡层153与扩散阻挡层113类似。
在半导体晶圆110接合至半导体晶圆150之前,使半导体晶圆100和150对准,使得在随后的混合接合过程中,导电焊盘112可以接合至导电焊盘152,并且绝缘材料114可以接合至绝缘材料154。在一些实施例中,通过使用光学传感方法实现半导体晶圆100和150的对准。
在实施对准之后,将半导体晶圆100和150压合在一起,并且提高温度以允许在半导体晶圆100和150的导电层之间以及绝缘层之间形成接合。如图2所示,接合结构具有通过金属-金属接合的两个导电焊盘112和152之间的界面130以及通过非金属-非金属接合的两个绝缘材料114和154之间的界面140。在一些实施例中,非金属与非金属接合是介电质与介电质的接合。
由于界面130处的金属表面的没有充分清洗和/或金属氧化物的形成,在两个导电焊盘112和152之间的界面130处可能会形成一些裂纹。界面130处的裂纹是不期望的并且可能会降低产量。因此,需要用于清洗导电材料132和162的金属表面并且去除金属表面上的金属氧化物的机制。
图3A至图3F示出了根据一些实施例的表示清洗半导体晶圆100的表面以用于混合接合的多个阶段的截面图。为了简化说明,图3A仅示出了部分半导体晶圆。
如图3A所示,在绝缘材料114中形成开口111。在一些实施例中,通过使用光刻工艺图案化绝缘材料114,以形成开口111。此外,根据一些实施例,由于关系金属在绝缘材料114中(诸如铜)扩散的问题,因此沉积扩散阻挡层113以对开口111加衬。
如图3B中所示,使用导电材料132来填充开口111。在一些实施例中,通过沉积方法形成导电材料132。沉积方法包括镀的方法(诸如,电化学镀(ECP)方法)。
如图3C所示,从绝缘材料114的顶面去除导电材料132的过量部分,以形成导电焊盘112。在一些实施例中,通过化学机械抛光(CMP)工艺10去除开口111外部的导电材料132。在一些实施例中,在CMP工艺10之后,在导电焊盘112的表面上形成金属氧化物层115。在一些实施例中,金属氧化物层115由氧化铜(CuOx)制成。金属氧化物将会减弱金属-金属接合的接合强度,从而需要去除金属氧化物。为了去除金属氧化物层115,实施后CMP清洗工艺。在一些实施例中,在后CMP清洗工艺中使用的清洗液包括去离子(DI)水、NH4OH或各种酸和碱。清洗工艺可以包括刷洗、兆声波清洗或它们的组合。可选地,清洗工艺可以包括其他类型的化学制品和清洗工序。在一些实施例中,在后CMP清洗工艺之后,部分金属氧化物层115仍然保留在导电焊盘112的顶面上。
对半导体晶圆100的顶面进行处理,以帮助后续工艺中的混合接合。如图3D所示,通过等离子体工艺20处理半导体晶圆100的顶面。在等离子体工艺20期间,将半导体晶圆100的顶面暴露于等离子体,使得在后续工艺中,绝缘材料114可以接合至绝缘材料154(如图2所示)。在一些实施例中,绝缘材料114是SiO2,并且在等离子体工艺20之后,在绝缘材料114的顶面处形成Si-O键。在一些实施例中,在等离子体工艺20中使用氮(N2)或氩(Ar)。在一些实施例中,等离子体工艺20包括使用在约80%至约100%范围内的Ar同时使用在约0%至约20%(以体积百分比计)范围内的H2。在一些实施例中,等离子体工艺20包括使用在约80%至约100%范围内的He并且使用在约0%至约20%范围内H2(以体积百分比计)。当在等离子体工艺20中使用氢时,部分金属氧化物层115被转化为金属。然而,一些金属氧化物仍然保留在导电焊盘112上。在一些其他实施例中,通过其他类型的处理方法来处理半导体晶圆100的顶面。
如图3E所示,在等离子体工艺20之后,在半导体晶圆100的顶面上形成残留物150。如上所述,如图3E中所示,一些金属氧化物层115仍然保留在导电焊盘112的顶面上。
参考图3F,在等离子体工艺20之后,通过清洗工艺清洗半导体晶圆100的顶面。图3F示出了设置在半导体晶圆100的顶面上方以供给清洗液35的清洗液供应器30(诸如喷嘴)。清洗液35可以包括柠檬酸、氢氟酸或四甲基氢氧化铵(TMAH)。在一些实施例中,通过清洗液35去除残留物150,并且还原金属氧化物层115,以在清洗工艺期间形成金属-氢键。
在一些实施例中,清洗液35包含柠檬酸(CA),并且清洗工艺包括以下反应。
2CuOx+2CA→2[Cu/CA]+xO2 (1)
[Cu/CA]+H→[Cu/H]+CA (2)
参考反应式(1),金属氧化物层115的金属氧化物(诸如CuOx)与柠檬酸反应,形成络合物[Cu/CA]。柠檬酸被清洗液35中的氢离子(H+)代替,以形成包含铜氢键(参考化学式(2))的[Cu/H]。因此,在清洗工艺期间,还原金属氧化物层115以通过还原反应形成金属-氢键。此外,这些金属-氢键防止金属焊盘112的表面在实施混合接合之前出现氧化。此外,金属-氢键可以很容易被破坏,以在混合接合期间形成金属-金属接合。
在一些实施例中,柠檬酸的浓度范围在约0.25%至约10%之间。在一些实施例中,氢氟酸(HF)的浓度范围在0.1%至约0.5%之间。在其他一些实施例中,四甲基氢氧化铵(TMAH)的浓度范围在约0.25%至约0.5%之间。
也对图2中所示的半导体晶圆150实施了上述以及图3A至图3F中所示的工艺,因此此处不再重复工艺的具体细节。在晶圆100和150都通过清洗工艺清洗之后,使半导体晶圆100和150对准,使得导电焊盘112与导电焊盘152对准,并且绝缘层114与绝缘层154对准(如图2中所示)。
在实施对准之后,通过施加压力和热量使半导体晶圆100和150混合接合在一起。混合接合可以在填充有诸如N2、Ar、He或它们的组合的惰性气体环境下实施。在一些实施例中,用于混合接合的压力在约10kPa至约200kPa的范围内。在一些实施例中,接合半导体晶圆100和150所施加的热量包括在约300℃至约400℃的温度范围内的退火操作。可选地,可以根据需要调节用于混合接合的压力和温度。
由于清洗了半导体晶圆100和150的顶面,所以没有留下阻挡半导体晶圆100和150之间接合的残留物150和金属氧化物层115。从而,提高了导电焊盘112和152之间的接合强度,并且解决或者大大改善了界面破裂的问题。
图4示出了根据一些实施例的用于混合接合的集成系统400。集成系统400包括等离子体室410、传送室420、清洗室430以及混合接合室440。等离子体室410、清洗室430以及混合接合室440附接在传送室420旁边。由于等离子体室410、清洗室430以及混合接合室440均连接至传送室420,所以半导体晶圆100和150可以在真空下通过传送室420从一个室传送至另一个室。在一些实施例中,在传送室420中设置机械手(未示出),并且机械手被配置为将半导体晶圆100和150传送至期望的室中。例如,机械手被配置为将半导体晶圆100和150从等离子体室410(用于等离子体处理)传送至清洗室(用于清洗),然后传送到混合接合室440(用于混合接合)。
例如,首先将半导体晶圆100放置在传送室420中的机械手上。之后,机械手将半导体晶圆100传送至等离子体工艺室410以用于实施图3D中所示的等离子体工艺20。在等离子体工艺20之后,通过机械手将半导体晶圆100传送至清洗室430以用于实施图3F中所示的清洗工艺。然后,半导体晶圆100被传送至混合接合室440并且保留在混合接合室440中,直到半导体晶圆150准备好被接合为止。半导体晶圆150也通过上述工艺在集成系统400中被处理。即,也将半导体晶圆150放置在传送室420中,然后传送至等离子体室410、清洗室430以及混合接合室440。在半导体晶圆100和150都被传送至混合接合室440中之后,半导体晶圆100在混合接合室440中通过混合接合而接合至半导体晶圆150从而形成接合结构。在一些实施例中,将半导体晶圆100和150从一个室传送至另一个室的工艺均在真空下实施,从而避免再形成金属氧化物。
在上述工艺中,在混合接合之前,实施清洗工艺以去除残留物150和金属氧化物层115。此外,由于在真空条件下将半导体晶圆100和150从集成系统400中的一个室传送至另一个室,半导体晶圆110和150在工艺期间都没有离开集成系统400。因此,避免了在导电焊盘112和152的顶面上再形成金属氧化物(诸如CuOx),并且提高了两个接合的半导体晶圆100和150之间的混合接合强度。
提供了清洗用于混合接合的半导体晶圆表面的机制的实施例。每一个半导体晶圆都包括被绝缘层围绕的导电焊盘和形成在导电焊盘的顶面上的金属氧化物层。首先,用等离子体处理半导体晶圆的表面,然后在等离子体工艺之后,通过使用清洗工艺来清洗。在清洗工艺期间,通过清洗液去除形成在半导体晶圆的顶面上的残留物。另外,通过清洗液中的酸来还原在半导体晶圆的导电焊盘上形成的金属氧化物层,并且在导电焊盘上形成金属-氢键以保护导电焊盘。清洗后的半导体晶圆通过混合接合而接合在一起以形成接合结构。在集成系统中实施制备并且接合半导体晶圆的工艺,包括等离子体工艺、清洗工艺以及混合接合工艺。在真空条件下将晶圆从集成系统中的一个室传送至另一个室,以防止金属的氧化。因此,可以避免再形成金属氧化物,并且大大改进了混合接合的质量。
在一些实施例中,提供了一种用于清洗用来混合接合的半导体晶圆的表面的方法。该方法包括:提供半导体晶圆,并且半导体晶圆具有嵌入在绝缘层中的导电焊盘以及形成在导电焊盘表面上的金属氧化物层。该方法还包括:对半导体晶圆的表面实施等离子体工艺。该方法还包括:在等离子体工艺之后,使用清洗液对半导体晶圆的表面实施清洗工艺,其中,金属氧化物被还原,并且在导电焊盘的表面上形成金属-氢键。该方法还包括:在真空下,将半导体晶圆传送到接合室以实施混合接合。
在一些实施例中,提供了一种用于半导体晶圆的混合接合。混合接合包括:提供第一半导体晶圆和第二半导体晶圆,并且第一半导体晶圆和第二半导体晶圆均具有嵌入在绝缘层中的导电焊盘。混合接合还包括:分别对第一半导体晶圆的表面和第二半导体晶圆的表面实施等离子体工艺。混合接合还包括:使用清洗液分别对第一半导体晶圆的表面和第二半导体晶圆的表面实施清洗工艺。混合接合还包括:将第一半导体晶圆接合至第二半导体晶圆。
在一些实施例中,提供了一种用于混合接合的集成系统。该集成系统包括:连接至传送室的等离子体室以及连接至传送室的清洗室。该集成系统还包括:连接至传送室的混合接合室,并且混合接合室被配置为接合两个半导体晶圆以形成金属-金属接合和非金属-非金属接合。
虽然已经详细地描述了本发明的实施例及其优点,但是应该理解,在不背离由所附权利要求所限定的本发明的精神和范围的情况下,对本发明可以作出各种改变、替换和修改。例如,本领域技术人员将容易地理解,可以改变本文描述的很多特征、功能、工艺和材料而仍然在本发明的范围内。而且,本申请的范围不旨在限制于说明书中描述的工艺、机器装置、制造、物质组成、工具、方法和步骤的具体实施例。本领域普通技术人员可将容易地从本发明的公开内容理解,根据本发明,可以利用现有的或者今后将开发的、实施与发明所述的相应实施例基本相同的功能或者实现基本相同的结果的工艺、机器装置、制造,物质组成、工具、方法和步骤。因此,所附权利要求旨在将这些工艺、机器装置、制造,物质组成、工具、方法或步骤包括在它们的范围内。

Claims (16)

1.一种清洗用于混合接合的半导体晶圆表面的方法,包括:
提供半导体晶圆,所述半导体晶圆具有嵌入在绝缘层中的导电焊盘和形成在所述导电焊盘的表面上的金属氧化物层;
对所述半导体晶圆的表面实施等离子体工艺;
在所述等离子体工艺之后,使用还原金属氧化物层并包括氢离子的清洗液对所述半导体晶圆的表面实施清洗工艺,所述金属氧化物层被还原形成金属的络合物,所述络合物与所述氢离子反应,并且在所述导电焊盘的表面上形成金属-氢键;以及
在真空下,将所述半导体晶圆传送至接合室以实施混合接合,
其中,所述清洗液包括:柠檬酸、氢氟酸(HF),所述氢氟酸(HF)的浓度在0.1%至0.5%的范围内。
2.根据权利要求1所述的清洗用于混合接合的半导体晶圆表面的方法,其中,所述柠檬酸的浓度在0.25%至10%的范围内。
3.根据权利要求1所述的清洗用于混合接合的半导体晶圆表面的方法,其中,提供所述半导体晶圆还包括:
在所述绝缘层中形成开口;
形成扩散阻挡层以对所述开口加衬;以及
在所述扩散阻挡层上形成导电材料以形成导电焊盘。
4.根据权利要求3所述的清洗用于混合接合的半导体晶圆表面的方法,其中,所述扩散阻挡层由钛(Ti)、钽(Ta)、氮化钛(TiN)、氮化钽(TaN)或氮化铝(AlN)制成。
5.根据权利要求1所述的清洗用于混合接合的半导体晶圆表面的方法,其中,所述绝缘层由氧化硅、氮化硅、氮氧化硅或未掺杂硅玻璃(USG)、掺磷氧化物(PSG)、掺硼氧化物(BSG)或掺硼磷氧化物(BPSG)制成。
6.根据权利要求1所述的清洗用于混合接合的半导体晶圆表面的方法,其中,所述导电焊盘包括由铜(Cu)、铝(Al)、钨(W)、钛(Ti)或钽(Ta)制成的导电材料。
7.根据权利要求1所述的清洗用于混合接合的半导体晶圆表面的方法,其中,实施所述等离子体工艺包括:
将所述半导体晶圆的表面暴露于氩(Ar)或氮(N2)中。
8.根据权利要求1所述的清洗用于混合接合的半导体晶圆表面的方法,还包括:
在实施所述等离子体工艺之前,对所述半导体晶圆的表面实施化学机械抛光(CMP)工艺;以及
在所述化学机械抛光工艺之后并且在实施所述等离子体工艺之前,实施后化学机械抛光清洗工艺。
9.根据权利要求1所述的清洗用于混合接合的半导体晶圆表面的方法,其中,实施所述等离子体工艺、实施所述清洗工艺,并且将所述半导体晶圆传送至所述接合室都在集成系统中实施。
10.一种用于半导体晶圆的混合接合的方法,包括:
提供第一半导体晶圆和第二半导体晶圆,所述第一半导体晶圆和所述第二半导体晶圆均具有嵌入在绝缘层中的导电焊盘;
分别对所述第一半导体晶圆的表面和所述第二半导体晶圆的表面实施等离子体工艺;
使用还原金属氧化物并包括氢离子的清洗液分别对所述第一半导体晶圆的表面和所述第二半导体晶圆的表面实施清洗工艺以通过还原所述导电焊盘上的金属氧化物形成金属的络合物,所述络合物与所述氢离子反应以形成金属-氢键;以及
将所述第一半导体晶圆接合至所述第二半导体晶圆,
其中,所述清洗液包括:柠檬酸、氢氟酸(HF),所述氢氟酸(HF)的浓度在0.1%至0.5%的范围内。
11.根据权利要求10所述的用于半导体晶圆的混合接合的方法,其中,在300℃至400℃范围内的温度下,实施将所述第一半导体晶圆接合至所述第二半导体晶圆。
12.根据权利要求10所述的用于半导体晶圆的混合接合的方法,其中,所述混合接合按照以下顺序实施:
对所述第一半导体晶圆实施所述等离子体工艺;
在对所述第一半导体晶圆实施所述等离子体工艺之后,对所述第一半导体晶圆实施所述清洗工艺;
在对所述第一半导体晶圆实施所述清洗工艺之后,将所述第一半导体晶圆传送至混合接合室;
在将所述第一半导体晶圆传送至所述混合接合室之后,对所述第二半导体晶圆实施所述等离子体工艺;
在对所述第二半导体晶圆实施所述等离子体工艺之后,对所述第二半导体晶圆实施所述清洗工艺;
在对所述第二半导体晶圆实施所述清洗工艺之后,将所述第二半导体晶圆传送至所述混合接合室;
在将所述第二半导体晶圆传送至所述混合接合室之后,将所述第一半导体晶圆接合至所述第二半导体晶圆。
13.一种用于混合接合的集成系统,包括:
等离子体室,连接至传送室;
清洗室,连接至所述传送室,其中,使用还原金属氧化物并包括氢离子的清洗液分别对两个半导体晶圆的表面实施清洗工艺以通过还原导电焊盘上的金属氧化物形成金属的络合物,所述络合物与所述氢离子反应以形成金属-氢键;以及
混合接合室,连接至所述传送室,所述混合接合室被配置为接合所述两个半导体晶圆以形成金属-金属接合和非金属-非金属接合,
其中,所述清洗液包括:柠檬酸、氢氟酸(HF),所述氢氟酸(HF)的浓度在0.1%至0.5%的范围内。
14.根据权利要求13所述的用于混合接合的集成系统,还包括:
机械手,设置在所述传送室中,所述机械手被配置为将所述半导体晶圆从所述等离子体室传送至所述清洗室,然后传送至所述混合接合室。
15.根据权利要求13所述的用于混合接合的集成系统,还包括:
清洗供应器,设置在所述清洗室中,所述清洗供应器被配置为供给清洗液,以还原所述半导体晶圆的导电焊盘上的金属氧化物层并且在所述半导体晶圆的所述导电焊盘上形成金属-氢键。
16.根据权利要求14所述的用于混合接合的集成系统,其中,在真空下实施将所述半导体晶圆从所述等离子体室传送至所述清洗室,然后传送至所述混合接合室。
CN201310471415.2A 2013-07-24 2013-10-10 清洗用于混合接合的衬底表面的机制 Active CN104347349B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/949,756 2013-07-24
US13/949,756 US9040385B2 (en) 2013-07-24 2013-07-24 Mechanisms for cleaning substrate surface for hybrid bonding

Publications (2)

Publication Number Publication Date
CN104347349A CN104347349A (zh) 2015-02-11
CN104347349B true CN104347349B (zh) 2018-03-09

Family

ID=52390844

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310471415.2A Active CN104347349B (zh) 2013-07-24 2013-10-10 清洗用于混合接合的衬底表面的机制

Country Status (3)

Country Link
US (2) US9040385B2 (zh)
CN (1) CN104347349B (zh)
TW (1) TWI547990B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9190318B2 (en) 2013-10-22 2015-11-17 Globalfoundries Inc. Method of forming an integrated crackstop
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US20170330855A1 (en) * 2016-05-13 2017-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Immersion Bonding
KR20240010753A (ko) * 2017-03-02 2024-01-24 에베 그룹 에. 탈너 게엠베하 칩들을 본딩하기 위한 방법 및 디바이스
US10149135B1 (en) * 2017-05-30 2018-12-04 Illinois Tool Works Inc. Methods and apparatuses for wireless communication with a brush
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
CN112585740A (zh) 2018-06-13 2021-03-30 伊文萨思粘合技术公司 作为焊盘的tsv
US10651153B2 (en) 2018-06-18 2020-05-12 Intel Corporation Three-dimensional (3D) memory with shared control circuitry using wafer-to-wafer bonding
US20190043868A1 (en) * 2018-06-18 2019-02-07 Intel Corporation Three-dimensional (3d) memory with control circuitry and array in separately processed and bonded wafers
US20200035641A1 (en) * 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
CN111348617A (zh) * 2018-12-24 2020-06-30 上海新微技术研发中心有限公司 一种基片的清洗方法和共晶键合方法
CN109616404A (zh) * 2018-12-26 2019-04-12 中芯集成电路(宁波)有限公司 用于器件晶圆进行注塑工艺的表面处理方法
CN111243972B (zh) * 2020-02-24 2022-06-10 哈尔滨工业大学 一种多步协同表面活化低温混合键合方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11756925B2 (en) * 2021-10-22 2023-09-12 Applied Materials, Inc. Methods and apparatus for vacuum processing a substrate
US20240096664A1 (en) * 2022-09-15 2024-03-21 Applied Materials, Inc. On-board cleaning of tooling parts in hybrid bonding tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101558186A (zh) * 2006-08-30 2009-10-14 朗姆研究公司 对基板表面做预先处理以进行金属沉积的工艺和集成系统
CN102254842A (zh) * 2010-05-20 2011-11-23 台湾积体电路制造股份有限公司 电镀工艺中的活化处理
CN104051288A (zh) * 2013-03-15 2014-09-17 台湾积体电路制造股份有限公司 用于混合晶圆接合的方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US6022400A (en) * 1997-05-22 2000-02-08 Nippon Steel Corporation Polishing abrasive grains, polishing agent and polishing method
US6321134B1 (en) * 1997-07-29 2001-11-20 Silicon Genesis Corporation Clustertool system software using plasma immersion ion implantation
TW480619B (en) * 2001-04-17 2002-03-21 United Microelectronics Corp Cleaning method for dual damascene manufacture process
KR20080103609A (ko) * 2001-05-30 2008-11-27 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US20030119278A1 (en) * 2001-12-20 2003-06-26 Mckinnell James C. Substrates bonded with oxide affinity agent and bonding method
US6645831B1 (en) * 2002-05-07 2003-11-11 Intel Corporation Thermally stable crystalline defect-free germanium bonded to silicon and silicon dioxide
US7078317B2 (en) * 2004-08-06 2006-07-18 Silicon Genesis Corporation Method and system for source switching and in-situ plasma bonding
WO2006038030A2 (en) * 2004-10-09 2006-04-13 Applied Microengineering Limited Equipment for wafer bonding
JP5043684B2 (ja) * 2005-01-27 2012-10-10 アプライド マテリアルズ インコーポレイテッド ルテニウム層堆積装置及び方法
JP4956919B2 (ja) * 2005-06-08 2012-06-20 株式会社日立製作所 半導体装置およびその製造方法
US7432177B2 (en) * 2005-06-15 2008-10-07 Applied Materials, Inc. Post-ion implant cleaning for silicon on insulator substrate preparation
JP4926530B2 (ja) * 2006-04-27 2012-05-09 東京エレクトロン株式会社 シール部材、減圧容器、減圧処理装置、減圧容器のシール機構、および減圧容器の製造方法
US8151852B2 (en) * 2009-06-30 2012-04-10 Twin Creeks Technologies, Inc. Bonding apparatus and method
TW201036047A (en) * 2009-03-26 2010-10-01 Tekcore Co Ltd Gold/gold high-pressure low-temperature wafer bonding method
JP5421825B2 (ja) * 2010-03-09 2014-02-19 東京エレクトロン株式会社 接合システム、接合方法、プログラム及びコンピュータ記憶媒体
CN102237285B (zh) * 2010-04-20 2016-05-18 台湾积体电路制造股份有限公司 晶片接合机
US8716105B2 (en) * 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
JP5485958B2 (ja) * 2011-09-16 2014-05-07 東京エレクトロン株式会社 接合方法、プログラム、コンピュータ記憶媒体、接合装置及び接合システム
US9048283B2 (en) * 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101558186A (zh) * 2006-08-30 2009-10-14 朗姆研究公司 对基板表面做预先处理以进行金属沉积的工艺和集成系统
CN102254842A (zh) * 2010-05-20 2011-11-23 台湾积体电路制造股份有限公司 电镀工艺中的活化处理
CN104051288A (zh) * 2013-03-15 2014-09-17 台湾积体电路制造股份有限公司 用于混合晶圆接合的方法

Also Published As

Publication number Publication date
US20150243537A1 (en) 2015-08-27
US10727097B2 (en) 2020-07-28
CN104347349A (zh) 2015-02-11
TWI547990B (zh) 2016-09-01
TW201505088A (zh) 2015-02-01
US20150031189A1 (en) 2015-01-29
US9040385B2 (en) 2015-05-26

Similar Documents

Publication Publication Date Title
CN104347349B (zh) 清洗用于混合接合的衬底表面的机制
US9627318B2 (en) Interconnect structure with footing region
US9048231B2 (en) 3D packages and methods for forming the same
CN103681549B (zh) 通孔结构及方法
US8525278B2 (en) MEMS device having chip scale packaging
US7795137B2 (en) Manufacturing method of semiconductor device
US20140225258A1 (en) 3D Packages and Methods for Forming the Same
CN105489512B (zh) 临时半导体结构键合方法和相关的键合半导体结构
US8481425B2 (en) Method for fabricating through-silicon via structure
CN102951595A (zh) 运动传感器的结构和方法
CN107017170A (zh) 连接件结构及其形成方法
US7948088B2 (en) Semiconductor device
CN106711131A (zh) 半导体封装件及其形成方法
CN106486466A (zh) 三维集成电路结构及其制造方法
US20220285156A1 (en) Apparatus and method for wafer bonding
TWI649815B (zh) 用於接合的多重撞擊過程
CN107180786A (zh) 半导体元件结构
CN109712953A (zh) 一种半导体器件的制造方法和半导体器件
CN107958891A (zh) 芯片封装
TW201826486A (zh) 基板之兩側上的ic結構及形成方法
TW201733065A (zh) 積體電路
TW201239987A (en) Protection of reactive metal surfaces of semiconductor devices during shipping by providing an additional protection layer
TW202412181A (zh) 封裝結構以及接合方法
TW202327001A (zh) 使用選擇性沉積及表面處理的晶圓接著方法
TW202215604A (zh) 半導體結構及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant