CN104205234B - 用于存储器电路测试引擎的通用数据加扰器 - Google Patents

用于存储器电路测试引擎的通用数据加扰器 Download PDF

Info

Publication number
CN104205234B
CN104205234B CN201280072125.4A CN201280072125A CN104205234B CN 104205234 B CN104205234 B CN 104205234B CN 201280072125 A CN201280072125 A CN 201280072125A CN 104205234 B CN104205234 B CN 104205234B
Authority
CN
China
Prior art keywords
data
memory
memory devices
value
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280072125.4A
Other languages
English (en)
Other versions
CN104205234A (zh
Inventor
D.科布拉
D.齐默曼
J.C.约翰逊
V.K.纳塔拉詹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN104205234A publication Critical patent/CN104205234A/zh
Application granted granted Critical
Publication of CN104205234B publication Critical patent/CN104205234B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/36Data generation devices, e.g. data inverters
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Storage Device Security (AREA)
  • Techniques For Improving Reliability Of Storages (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一种用于存储器电路测试引擎的通用数据加扰器。存储器设备的实施例包括:存储器;用于存储器的存储器控制器;用于存储器的测试的内建自测试(BIST)电路;以及用于根据针对存储器的加扰算法来加扰数据的通用数据加扰器,其中每一个算法基于用于数据的地址的值。通用数据加扰器包括:保持用于算法的每个可能结果的值的可编程查找表,该查找表生成一组数据因子;以及用于将数据与数据因子组合以生成扰码数据的逻辑。

Description

用于存储器电路测试引擎的通用数据加扰器
技术领域
本发明的实施例总体上涉及电子设备领域,并且更具体地涉及用于存储器电路测试引擎的通用数据加扰器。
背景技术
为了提供用于计算操作的更密集的存储器,已经开发了涉及具有多个紧密耦合的存储器元件的存储器设备(其可以被称为3D堆叠存储器或堆叠存储器)的概念。
3D堆叠存储器可以包括DRAM(动态随机存取存储器)存储器元件的耦合层或封装,其可以被称为存储器堆叠。堆叠存储器可以用于在单个设备或封装中提供大量计算机存储器,其中该设备或封装还可以包括某些系统组件,诸如存储器控制器和CPU(中央处理单元)。
随着存储器设备在大小和复杂性方面增加,存在对于这样的设备的有效和高效测试的增加的需要,其中测试可以包括数据加扰以提供完整的测试。诸如ATE(自动测试设备)测试器之类的外部设备可以包括数据加扰器。
然而,堆叠存储器设备内的存储器管芯可以在设计方面变化,并且特别地,这样的存储器可以在存储器中利用的数据加扰方面变化。
附图说明
通过示例的方式而非限制的方式在附图的各图中图示本发明的实施例,在附图中,相似的附图标记指代类似的元件。
图1图示包括通用数据加扰器的堆叠存储器设备的逻辑的实施例;
图2图示提供存储器测试的包括通用数据加扰器的3D堆叠存储器的实施例;
图3是图示用于针对堆叠存储器设备的通用数据加扰的过程的实施例的流程图;
图4是利用查找表的通用数据加扰器的实施例的图示;
图5A是包括某种寄存器文件实现的通用数据加扰器的实施例的图示;
图5B是包括输入复用器和寄存器文件的通用数据加扰器的实施例的图示;
图6是包括用于针对存储器设备的通用数据加扰器的元件的装置或系统的实施例的图示;以及
图7图示了包括用于针对存储器设备的通用数据加扰器的元件的计算系统的实施例。
具体实施方式
本发明的实施例总体上涉及用于电路测试引擎的通用数据加扰器。
如本文所使用的:
“3D堆叠存储器”(其中3D指示三维)或“堆叠存储器”意指包括多个耦合的存储器层、存储器封装或其它存储器元件的计算机存储器。存储器可以垂直堆叠或水平(诸如并排)堆叠,或者以其它方式包含耦合在一起的存储器元件。特别地,堆叠存储器DRAM设备或系统可以包括具有多个DRAM层的存储器设备。堆叠存储器设备还可以包括设备中的系统元件,诸如CPU(中央处理单元)、存储器控制器和其它有关系统元件。系统层可以包括逻辑芯片或片上系统(SoC)。堆叠存储器设备可以包括用于提供管芯层之间的互连的硅通孔(TSV)。在一些实施例中,逻辑芯片可以是应用处理器或图形处理单元(GPU)。
在一些实施例中,提供了用于存储器设备的内建自测试(BIST)引擎的通用数据加扰器。
随着堆叠存储器的出现,并且具体地随着WideIO DRAM标准的出现,一个或多个DRAM晶片与SoC(片上系统)晶片或系统元件堆叠在相同封装中,其可以包括硅通孔(TSV)制造技术的使用。TSV和Wide IO DRAM(以及未来标准)的组合可以导致面积节约、平台电力节约以及性能方面的提高。
然而,堆叠存储器架构造成关于数据测试的可能后果。为了有效地测试存储器,将基于物理位置来写入数据。逻辑到物理数据映射(L2P)典型地在逻辑和物理位置之间不具有一对一关系,且一般被加扰功能支配。数据加扰功能可以在实现方面变化,从数据位的简单有线连接性重映射到需要的组合门或地址的函数的映射。高度复杂的情况是作为地址的函数的数据加扰的情况。在操作中,存储器设备可以在数据的存储中利用数据加扰。在常规设备中,数据加扰一般是根据特定数据加扰方案来硬编码的。
堆叠存储器设备包括与存储器堆叠耦合的系统元件。存储器堆叠包括一个或多个存储器管芯,其中这样的存储器管芯可以通过各种不同的制造商而制造,其中这样的制造商可以利用不同的地址加扰算法。在测试中,存在理解所存储的数据如何与物理存储器相对应的需要,其将基于用于特定DRAM存储器的数据加扰算法而变化。
在一些实施例中,存储器设备包括通用数据加扰器,其中通用数据加扰器可以用于支持用于各种不同存储器的内建自测试(BIST)操作,其中这样的存储器可以包括由不同制造商生成的存储器。在一些实施例中,通用数据加扰器可以实现多个不同加扰方程或算法。在一些实施例中,BIST和通用数据加扰器允许多种不同类型的存储器的测试。
在一种实现中,通用数据加扰器包括可编程查找表以获取用于数据加扰的数据因子,其中数据因子与实际数据结合(诸如在XOR操作中)。在一些实施例中,过程可以反向以允许装置解扰存储器测试中所存储的数据。在一些实施例中,用于BIST引擎的通用数据加扰器是可编程的,并且BIST引擎是与过程无关的,从而针对不同存储器不需要硬件或设计改变。在一些实施例中,通用数据加扰器使得能够针对多个不同DRAM进行数据加扰,并可以用于提供改进的缺陷检测,这可以有助于提供更好的制造良率。
在一些实施例中,通用数据加扰器的实现包括查找表,过程包括基于地址预计算查找表值的值;使用轻击输入将查找值加载到查找表中;以及基于来自查找表的查找值操纵实际数据。
在一些实施例中,具有通用数据加扰器的BIST引擎可以被制造商用于屏蔽缺陷部分,诸如在组装之后进行测试以用于验证存储器设备的存储器的操作以及验证存储器到一个或多个逻辑组件的连接中的一个或多个。当被启用以用于OS(操作系统)启动时,BIST引擎支持通电自测试(POST)以检测可靠性相关的失效。在一些实施例中,通用数据加扰器在调试期间启用BIST屏面(诊断)特征以例如精确指明失效位。在一些实施例中,通过通用数据加扰器的编程,当DRAM过程改变导致用于存储器的新L2P(逻辑到物理)映射的实现时,不需要硬件改变。
图1图示了包括通用数据加扰器的堆叠存储器设备的逻辑的实施例。在一些实施例中,堆叠存储器设备(诸如WideIO存储器设备之类的SoC芯片)的存储器逻辑100包括用于存储器堆叠的控制的存储器控制器110、用于存储器的测试的BIST电路测试引擎120、以及用于加扰要被存储在DRAM中的数据的通用数据加扰器130。在DRAM的测试中,存在对于确定实际数据储存的需要,其中这样的数据被加扰,数据加扰取决于被包括在堆叠存储器设备中的存储器管芯而变化。
在一些实施例中,存储器逻辑100的BIST电路测试引擎120包括用于将地址和数据140映射到经加扰142的通用可编程数据加扰器130。在一些实施例中,通用数据加扰器130包括:可编程查找表模块或元件134,用于加扰(和去加扰)数据,其中加扰可以取决于地址;以及确定逻辑136,其可以包括多个逻辑门。
在一些实施例中,查找表134可操作成被编程有用于多个可能的数据加扰布尔方程中的任一个的预计算值。查找表可以以各种方式实现,包括但不限于用于保持预计算值的寄存器文件或双稳态多谐振荡器集合。
图2图示了提供存储器测试的包括通用数据加扰器的3D堆叠存储器的实施例。在该图示中,3D堆叠存储器设备200(诸如WideIO存储器设备)包括与一个或多个DRAM存储器管芯层220(本文也称为存储器堆叠)耦合的衬底205上的系统元件210。在一些实施例中,系统元件210可以是片上系统(SoC)或其它类似元件。在该图示中,DRAM存储器管芯层包括四个存储器管芯层,这些层是第一存储器管芯层222、第二存储器管芯层224、第三存储器管芯层226以及第四存储器管芯层228。然而,实施例不受限于存储器堆叠220中的任何特定数目的存储器管芯层,并可以包括更大或更小数目的存储器管芯层。每个管芯层可以包括一个或多个切片或部分,并可以具有一个或多个不同的通道。每个管芯层可以包括温度补偿自刷新(TCSR)电路以解决热问题,其中TCSR和模式寄存器可以是设备的管理逻辑的一部分。
除其它元件之外,系统元件210可以包括诸如WideIO存储器控制器之类的存储器控制器230以用于存储器堆叠220。在一些实施例中,存储器堆叠220的每个存储器管芯层(可能除顶部(或最外)存储器管芯层外,诸如该图示中的第四存储器管芯层228)包括多个硅通孔(TSV)250以提供经过存储器管芯层的路径。
在一些实施例中,堆叠存储器设备200包括BIST逻辑240。在一些实施例中,BIST逻辑被用于DRAM存储器层的测试。在一些实施例中,存储器设备200还包括与用于存储器堆叠220的测试的BIST结合使用的通用数据加扰器245。在一些实施例中,通用数据加扰器245可以包括图1中图示的元件。
图3是图示了用于针对堆叠存储器设备的通用数据加扰的过程的实施例的流程图。在一些实施例中,过程包括确定诸如堆叠存储器设备的DRAM存储器之类的特定存储器所需的数据加扰算法305。在一些实施例中,利用在所生成的加扰数据中使用的数据因子的预计算条目来对通用数据加扰查找表进行编程310。
在一些实施例中,可以利用存储器设备的BIST实施用于DRAM的存储器测试320。在一些实施例中,要将地址和原始数据引导到通用数据加扰器的查找表325。地址和原始数据的应用可以包括附加的元件,诸如例如图5A和5B中图示的元件。在一些实施例中,要使用由通用数据加扰查找表的查找表提供的数据因子来生成加扰数据330,该数据因子基于地址数据值。
在方程1中提供用于操作数据元素的因子的生成的数据加扰算法的说明。
如方程1中所示,每个数据因子(DQi)可能需要一系列运算,其中例如i可以是用于32位数据的值0到31。这样的运算的复杂性因而暗示了包括用于多个算法的硬连线一般将是不切实际的。另外,如果取而代之,按照处理器所需的那样执行计算,则计算的数目导致运算中的显著延迟。
图4是利用查找表的通用数据加扰器的实施例的图示。在一些实施例中,通用数据加扰器400包括接收由地址位X0到X6 420组成的地址的查找表410和接收由数据位D0到D31425组成的数据元素的每一位的一组逻辑门(被图示为XOR门)415。在一些实施例中,在第一步骤中,预计算查找表的每个元素,其中七个位暗示27 = 128个值。在一些实施例中,值的预计算允许用于数据加扰的值的快速确定。在一些实施例中,查找表410生成一组数据因子DQi,其中i = 0到31,以用于对逻辑门415的输入来生成由数据值D0’到D31’430组成的加扰数据元素。
在一些实施例中,通用数据加扰器400允许基于地址的任何数据因子的确定以用于对逻辑门的应用。在一些实施例中,值的预计算和到查找表中的加载允许BIST在没有BIST或通用数据加扰器的修改的情况下操作在利用变化的不同数据加扰方案的存储器的测试中。
图5A是包括某种寄存器文件实现的通用数据加扰器的实施例的图示。在一些实施例中,通用数据加扰器500包括查找表530以及一组逻辑门540。在该实现中,查找表530包括以32的深度和4的宽度阵列化的寄存器文件532、以及复用器534。
在该图示中,查找表530接收地址位X0到X6,查找表使用值X0到X4 520来从寄存器文件532获取预计算的值,并将位X5和X6用作用于复用器534的2位选择信号522。在一些实施例中,寄存器文件532选择数据因子Q0到Q3 545,其中用于复用器534的选择信号522操作成选择到逻辑门540的数据因子应用的适当的数据因子。逻辑门540接收数据位D0到D31542,并根据所选择的数据因子来处理数据位542以生成由数据值D0’到D31’544组成的加扰数据元素。
图5B是利用包括输入复用器和寄存器文件的实现的通用数据加扰器的实施例的图示。在一些实施例中,通用数据结构可以提供奇数位和偶数位的分离的确定。图5B图示了偶数DQ结构,其中在该实现中还存在未图示的重复的奇数DQ结构。在一些实施例中,通用数据加扰器550包括一组输入复用器560-566、查找表580和一组逻辑门590,输入复用器560-566提供用于较大数目的数据位的进一步选择性。
在该实现中,在多个复用器560-566中的每一个处接收地址位X0到X6 555,其中复用器560选择生成信号C0的输入,复用器561选择生成信号C1的输入,复用器562选择生成信号C2的输入,并且继续到复用器566选择生成信号C6的输入。在该实现中,查找表580包括以32的深度和4的宽度(其为在该实现中使用的64个集合中的4个)阵列化的寄存器文件582、以及复用器584。在该图示中,查找表580接收信号C0到C6,查找表使用值C0到C4 570来从寄存器文件582获取预计算的值,并将位C5和C6用作用于复用器584的2位选择信号572。在一些实施例中,寄存器文件582选择数据因子Q0到Q3,其中用于复用器584的选择信号572操作成选择数据因子中的适当数据因子以应用到逻辑门590。在该图示中,逻辑门590接收偶数数据位D0、D2,并继续到D126 592,并根据所选择的数据因子来处理数据位592以生成偶数数据位D0’到D126’594的部分或由其组成的加扰数据元素。并行奇数DQ结构,其未在此图示,以相同的方式进行操作以生成奇数数据值D1’到D127’。
图6是包括用于针对存储器设备的通用数据加扰器的元件的装置或系统的实施例的图示。计算设备600表示包括移动计算设备的计算设备,诸如膝上型计算机、平板计算机(包括:具有触摸屏而没有分离的键盘的设备;具有触摸屏和键盘二者的设备;具有快速启动(被称为“即时接通”操作)的设备;以及一般在操作中连接到网络(被称为“始终连接”)的设备)、移动电话或智能电话、无线启用电子阅读器或其它无线移动设备。将理解,一般地示出某些组件,并且并非这样的设备的所有组件都在设备600中示出。组件可以通过一个或多个总线或其它连接605而连接。
设备600包括处理器610,其执行设备600的主要处理操作。处理器610可以包括一个或多个物理设备,诸如微处理器、应用处理器、微控制器、可编程逻辑设备或其它处理装置。由处理器610执行的处理操作包括其上运行应用、设备功能或这二者的操作平台或操作系统的运行。处理操作包括涉及与人类用户或与其它设备的I/O(输入/输出)的操作、涉及电力管理的操作、或涉及将设备600连接到另一设备的这二者的操作。处理操作还可以包括涉及音频I/O、显示I/O或这二者的操作。
在一个实施例中,设备600包括音频子系统620,其表示与向计算设备提供音频功能相关联的硬件(诸如音频硬件和音频电路)和软件(诸如驱动器和编解码器)组件。音频功能可以包括扬声器、耳机或这样的音频输出以及麦克风输入二者。用于这样的功能的设备可以集成到设备600中,或者连接到设备600。在一个实施例中,用户通过提供被处理器610接收和处理的音频命令来与设备600交互。
显示子系统630表示提供具有供用户与计算设备交互的视觉、触觉或这二者的元件的显示器的硬件(诸如显示设备)和软件(诸如驱动器)组件。显示子系统630包括显示接口632,其包括用于向用户提供显示的特定屏幕或硬件设备。在一个实施例中,显示接口632包括与处理器610分离的用于执行涉及显示的至少一些处理的逻辑。在一个实施例中,显示子系统630包括向用户提供输出和输入二者的触摸屏设备。
I/O控制器640表示涉及与用户的交互的硬件设备和软件组件。I/O控制器640可以操作成管理作为音频子系统620、显示子系统630或这两种子系统的一部分的硬件。此外,I/O控制器640图示了用于连接到设备600的附加设备的连接点,通过该连接点,用户可能与系统交互。例如,可附接到设备600的设备可能包括麦克风设备、扬声器或立体声系统、视频系统或其它显示设备、键盘或键区设备、或用于与诸如读卡器或其它设备之类的特定应用一起使用的其它I/O设备。
如上所提及的,I/O控制器640可以与音频子系统620、显示子系统630或这两种子系统交互。例如,通过麦克风或其它音频设备的输入可以提供用于设备600的一个或多个应用或功能的输入或命令。此外,可以提供音频输出来取代或附加于显示输出。在另一示例中,如果显示子系统包括触摸屏,则显示设备还充当输入设备,其可以至少部分地由I/O控制器640管理。在设备600上还可以存在附加的按钮或开关以提供由I/O控制器640管理的I/O功能。
在一个实施例中,I/O控制器640管理诸如加速度计、摄像机、光传感器或其它环境传感器之类的设备、或者可被包括在设备600中的其它硬件。输入可以是直接用户交互的一部分,以及向系统提供环境输入以影响其操作(诸如对噪声进行滤波,针对亮度检测调整显示器,针对摄像机应用闪光灯,或者其它特征)。
在一个实施例中,设备600包括管理电池电力使用、电池的充电以及涉及节电操作的特征的电力管理650。
在一些实施例中,存储器子系统660包括用于将信息存储在设备600中的存储器设备。处理器610可以将数据读取和写入到存储器子系统660的元件。存储器可以包括非易失性(其具有在到存储器设备的电力中断的情况下不改变的状态)、易失性(具有在到存储器设备的电力中断的情况下不明确的状态)存储器设备或这两种存储器。存储器660可以存储应用数据、用户数据、音乐、照片、文档或其它数据、以及涉及系统600的应用和功能的运行的系统数据(不论是长期的还是临时的)。
在一些实施例中,存储器子系统660可以包括堆叠存储器设备662,其中堆叠存储器设备包括一个或多个DRAM存储器管芯层664、用于DRAM 664的测试的BIST逻辑668、以及包括用于根据针对DRAM 664的加扰算法生成加扰数据的查找表669的通用数据加扰器。
连接性670包括硬件设备(例如,连接器和用于无线通信、有线通信或这二者的通信硬件)和软件组件(例如驱动器、协议栈)以使设备600能够与外部设备通信。设备可以是分离的设备,诸如其它计算设备、无线接入点或基站、以及诸如头戴式耳机、打印机或其它设备之类的外设。
连接性670可以包括多种不同类型的连接性。为了概括,设备600被图示有蜂窝连接性672和无线连接性674。蜂窝连接性672一般是指由无线载体提供的蜂窝网络连接性,诸如经由4G/LTE(长期演进)、GSM(全球移动通信系统)或变型或派生物、CDMA(码分多址)或变型或派生物、TDM(时分复用)或变型或派生物、或其它蜂窝服务标准而提供。无线连接性674是指不是蜂窝式的无线连接性,并可以包括个域网(诸如蓝牙)、局域网(诸如Wi-Fi)、广域网(诸如WiMax)以及其它无线通信。连接性可以包括一个或多个全向或定向天线676。
外围连接680包括硬件接口和连接器以及软件组件(例如驱动器、协议栈)以进行外围连接。将理解,设备600可以既是到其它计算设备的外围设备(“去往”682),又具有连接到它的外围设备(“来自”684)。设备600通常具有“对接”连接器以出于诸如管理(诸如下载、上载、改变或同步)设备600上的内容之类的目的而连接到其它计算设备。此外,对接连接器可以允许设备600连接到允许设备600控制内容输出到例如视听或其它系统的某些外设。
除了私有对接连接器或其它私有连接硬件之外,设备600可以经由公共或基于标准的连接器来进行外围连接680。公共类型可以包括通用串行总线(USB)连接器(其可以包括许多不同硬件接口中的任一个)、包括微型显示端口(MDP)的显示端口、高清多媒体接口(HDMI)、火线或其它类型。
图7图示了包括用于针对存储器设备的通用数据加扰器的元件的计算系统的实施例。计算系统可以包括计算机、服务器、游戏控制台或其它计算装置。在该图示中,未示出不关系到本描述的某些标准和众所周知的组件。在一些实施例之下,计算系统700包括互连或交叉开关705或用于数据传输的其它通信装置。计算系统700可以包括诸如与互连705耦合以处理信息的一个或多个处理器710之类的处理装置。处理器710可以包括一个或多个物理处理器和一个或多个逻辑处理器。为了简明起见,互连705被图示为单个互连,但其可以表示多个不同的互连或总线,并且到这样的互连的组件连接可以变化。图7中所示的互连705是表示任何一个或多个分离的物理总线、点到点连接或通过适当的桥、适配器或控制器连接的这二者的抽象概念。
在一些实施例中,计算系统700还包括作为用于存储信息和要由处理器710运行的指令的主存储器712的随机存取存储器(RAM)或其它动态存储设备或元件。RAM存储器包括:动态随机存取存储器(DRAM),其需要存储器内容的刷新;以及静态随机存取存储器(SRAM),其不需要刷新内容,但是成本增加。在一些实施例中,主存储器可以包括包含用于使用在计算系统的用户的网络浏览活动中的浏览器应用在内的应用的活动储存。DRAM存储器可以包括:同步动态随机存取存储器(SDRAM),其包括用于控制信号的时钟信号;以及扩展数据输出动态随机存取存储器(EDO DRAM)。在一些实施例中,系统的存储器可以包括某些寄存器或其它专用存储器。
在一些实施例中,主存储器712可以包括堆叠存储器设备714,其中堆叠存储器设备包括一个或多个DRAM存储器管芯层716、用于DRAM 716的测试的BIST逻辑717以及包括用于根据针对DRAM 716的加扰算法生成加扰数据的查找表718的通用数据加扰器。
计算系统700还可以包括只读存储器(ROM)720或用于存储静态信息和用于处理器710的指令的其它静态存储设备。计算系统700可以包括用于某些元件的存储的一个或多个非易失性存储器元件722。
在一些实施例中,计算系统700包括一个或多个输入设备730,其中输入设备包括键盘、鼠标、触摸板、语音命令识别、手势识别或用于向计算系统提供输入的其它设备中的一个或多个。
计算系统700还可以经由互连705耦合到输出显示器740。在一些实施例中,显示器740可以包括液晶显示器(LCD)或任何其它显示技术,用于向用户显示信息或内容。在一些实施例中,显示器740可以包括还用作输入设备的至少一部分的触摸屏。在一些环境中,显示器740可以是或者可以包括音频设备,诸如用于提供音频信息的扬声器。
一个或多个发射器或接收器745也可以耦合到互连705。在一些实施例中,计算系统700可以包括用于数据的接收或发射的一个或多个端口750。计算系统700还可以包括用于经由无线电信号接收数据的一个或多个全向或定向天线755。
计算系统700还可以包括电力设备或系统760,其可以包括电源、电池、太阳能电池、燃料电池或者用于提供或生成电力的其它系统或设备。由电力设备或系统760提供的电力可以按需分布到计算系统700的元件。
在以上描述中,出于解释的目的,阐述了大量特定细节以提供对本发明的透彻理解。然而,对本领域技术人员来说将显而易见的是,可以在没有这些特定细节中的一些的情况下实践本发明。在其它实例中,以框图形式示出众所周知的结构和设备。在所图示的组件之间可以存在中间结构。本文所描述或图示的组件可以具有未被图示或描述的附加输入或输出。
各种实施例可以包括各种过程。这些过程可以由硬件组件执行或者可以在计算机程序或机器可执行指令中体现,该计算机程序或机器可执行指令可以被用于使被编程有指令的通用或专用处理器或逻辑电路执行该过程。可替换地,过程可以由硬件和软件的组合执行。
各种实施例的部分可以被提供为计算机程序产品,其可以包括其上存储有计算机程序指令的计算机可读介质,该计算机程序指令可以被用于对计算机(或其它电子设备)进行编程以供一个或多个处理器运行来执行根据某些实施例的过程。计算机可读介质可以包括但不限于软盘、光盘、压缩盘只读存储器(CD-ROM)和磁光盘、只读存储器(ROM)、随机存取存储器(RAM)、可擦除可编程只读存储器(EPROM)、电可擦除可编程只读存储器(EEPROM)、磁或光卡、闪存或适于存储电子指令的其它类型的计算机可读介质。而且,实施例还可以作为计算机程序产品而下载,其中程序可以从远程计算机传送到请求计算机。
许多方法以其最基本的形式而被描述,但是在不脱离本发明的基本范围的情况下,可以向任何方法添加过程或者从任何方法删除过程,并且信息可以被添加到任何所描述的消息或者从任何所描述的消息减去。对本领域技术人员来说将显而易见的是,可以做出许多进一步的修改和适配。具体实施例不是为了限制本发明而是为了说明本发明而提供的。本发明的实施例的范围不应由以上提供的特定示例而是仅由以下权利要求确定。
如果据称元件“A”耦合到元件“B”或与元件“B”耦合,则元件A可以直接耦合到元件B或者通过例如元件C间接耦合。当说明书或权利要求声明组件、特征、结构、过程或特性A“导致”组件、特征、结构、过程或特性B时,这意味着“A”至少是“B”的部分原因,但是还可以存在有助于导致“B”的至少一个其它组件、特征、结构、过程或特性。如果说明书指示组件、特征、结构、过程或特性“可以”、“可能”或“可”被包括,则该特定组件、特征、结构、过程或特性无需被包括。如果说明书或权利要求提到“一”或“一个”元件,则这并不意味着仅存在一个所描述的元件。
实施例是本发明的实现或示例。在说明书中对“一实施例”、“一个实施例”、“一些实施例”或“其它实施例”的引用意味着结合实施例描述的特定特征、结构或特性被包括在至少一些实施例中,但不一定在所有实施例中。“一实施例”、“一个实施例”或“一些实施例”的各种出现不一定都是指相同的实施例。应当领会到,在本发明的示例性实施例的前述描述中,为了精简本公开并帮助各种发明方面中的一个或多个的理解的目的,有时将各种特征一起成组在单个实施例、附图或其描述中。然而,公开的这种方法不应解释为反映所要求保护的发明需要比在每个权利要求中明确记载的特征更多的特征的意图。而是,如以下权利要求所反映的,发明方面在于单个前述公开实施例的并非所有特征。因此,权利要求特此被明确并入到本描述中,其中每个权利要求独立地作为本发明的单独实施例。
在一些实施例中,一种存储器设备包括:存储器;用于存储器的存储器控制器;用于存储器的测试的BIST电路;以及用于根据针对存储器的加扰算法来加扰数据的通用数据加扰器,每一个算法至少部分地基于用于数据的地址的值。在一些实施例中,通用数据加扰器包括:保持用于算法的每个可能结果的值的可编程查找表,该查找表生成一组数据因子;以及用于将数据与数据因子组合以生成加扰数据的逻辑。
在一些实施例中,该查找表包括寄存器文件,该寄存器文件的值是基于地址的位的至少一部分的值来选择的。在一些实施例中,该查找表还包括复用器,该复用器基于地址的一个或多个位来选择该寄存器文件的值的多个集合中的一个。
在一些实施例中,加扰算法是地址的函数。
在一些实施例中,BIST电路和通用数据加扰器可以与多个不同的加扰算法一起被利用。
在一些实施例中,存储器设备是堆叠存储器设备,其包括一个或多个存储器层的存储器堆叠和系统元件。
在一些实施例中,存储器控制器、BIST电路和通用数据加扰器是系统元件的一部分。在一些实施例中,系统元件是SoC。
在一些实施例中,BIST电路在存储器设备的组装之后提供包括验证存储器设备的存储器的操作以及验证存储器到逻辑组件的连接中的一个或多个的测试。在一些实施例中,BIST电路提供存储器设备的操作中的测试,其中存储器设备的操作中的测试可以包括存储器设备的通电自测试。
在一些实施例中,一种方法包括:预计算用于针对存储器设备的数据加扰算法的数据值;将预计算的数据值加载到存储器设备的查找表中;接收用于存储器设备的地址和原始数据;基于地址从查找表确定一组数据值;以及将原始数据与所确定的数据因子组组合以生成加扰数据。
在一些实施例中,所述方法还包括:使用存储器设备的BIST电路来执行存储器设备的测试,存储器设备的测试利用加扰数据。
在一些实施例中,执行测试包括:在存储器设备的组装之后进行包括验证存储器设备的存储器的操作以及验证存储器到逻辑组件的连接中的一个或多个的测试。在一些实施例中,执行测试包括:在存储器设备的操作中进行测试,其中在存储器设备的操作中进行测试可以包括存储器设备的通电自测试。
在一些实施例中,将原始数据与所确定的数据因子组组合包括数据与数据因子组的XOR运算。在一些实施例中,存储器设备是包括一个或多个存储器层的存储器堆叠和系统元件的堆叠存储器设备。
在一些实施例中,一种系统包括:用于连接系统元件的总线;与总线耦合以处理用于系统的数据的处理器;用于发射数据的发射器、用于接收数据的接收器或者这二者;用于数据发射、数据接收或这二者的全向天线;以及耦合到总线以保持供处理器处理的数据的存储器,该存储器包括堆叠存储器设备,该堆叠存储器设备包括DRAM存储器、用于存储器的存储器控制器、用于存储器的测试的BIST电路和用于根据针对存储器的加扰算法来加扰数据的通用数据加扰器,每一个算法至少部分地基于用于数据的地址的值。在一些实施例中,通用数据加扰器包括:保持用于算法的每一个可能结果的值的可编程查找表,该查找表生成一组数据因子;以及用于将数据与数据因子组合以生成加扰数据的逻辑。
在一些实施例中,该查找表包括寄存器文件,该寄存器文件的值是基于地址的位的至少一部分的值来选择的。在一些实施例中,该查找表包括复用器,该复用器基于地址的一个或多个位来选择寄存器文件的值的多个集合中的一个。
在一些实施例中,系统是计算系统之一,其中该计算系统可以是平板计算机。
在一些实施例中,一种非瞬变计算机可读存储介质,其上存储有表示指令序列的数据,所述指令序列在被处理器运行时使该处理器执行包括下述各项的操作:预计算用于针对存储器设备的数据加扰算法的数据值;将预计算的数据值加载到存储器设备的查找表中;接收用于存储器设备的地址和原始数据;基于地址从查找表确定一组数据值;以及将原始数据与所确定的数据因子组组合以生成加扰数据。
在一些实施例中,该介质包括用于使用存储器设备的BIST电路执行存储器设备的测试的指令,存储器设备的测试利用加扰数据。

Claims (23)

1.一种存储器设备,包括:
存储器;
用于存储器的存储器控制器;
用于存储器的测试的内建自测试BIST电路;以及
用于根据针对存储器的加扰算法来加扰数据的通用数据加扰器,每一个算法至少部分地基于用于数据的地址的值,其中,通用数据加扰器包括:
保持用于算法的每个可能结果的值的可编程查找表,该查找表生成一组数据因子;以及
用于将数据与数据因子组合以生成加扰数据的逻辑,
其中该查找表包括寄存器文件,该寄存器文件的值是基于地址的位的至少一部分的值来选择的。
2.按照权利要求1所述的存储器设备,其中该查找表包括复用器,该复用器基于地址的一个或多个位来选择该寄存器文件的值的多个集合中的一个。
3.按照权利要求1所述的存储器设备,其中算法是地址的函数。
4.按照权利要求1的存储器设备,其中BIST电路和通用数据加扰器能够与多个不同的加扰算法一起被利用。
5.按照权利要求1所述的存储器设备,其中存储器设备是堆叠存储器设备,其包括一个或多个存储器层的存储器堆叠和系统元件。
6.按照权利要求5所述的存储器设备,其中存储器控制器、BIST电路和通用数据加扰器是系统元件的一部分。
7.按照权利要求5所述的存储器设备,其中系统元件是片上系统(SoC)。
8.按照权利要求1的存储器设备,其中BIST电路在存储器设备的组装之后提供包括验证存储器设备的存储器的操作以及验证存储器到一个或多个逻辑组件的连接中的一个或多个的测试。
9.按照权利要求1所述的存储器设备,其中BIST电路提供存储器设备的操作中的测试。
10.按照权利要求9所述的存储器设备,其中存储器设备的操作中的测试包括存储器设备的通电自测试。
11.一种数据加扰方法,包括:
预计算用于针对存储器设备的数据加扰算法的数据值;
将预计算的数据值加载到存储器设备的查找表中;
接收用于存储器设备的地址和原始数据;
基于地址从查找表确定一组数据值;以及
将原始数据与所确定的数据值组进行组合以生成加扰数据,
其中该查找表包括寄存器文件,该寄存器文件的值是基于地址的位的至少一部分的值来选择的。
12.按照权利要求11所述的方法,还包括:使用存储器设备的BIST内建自测试电路来执行存储器设备的测试,存储器设备的测试利用加扰数据。
13.按照权利要求12所述的方法,其中执行测试包括:在存储器设备的组装之后进行测试,测试包括验证存储器设备的存储器的操作以及验证存储器到一个或多个逻辑组件的连接中的一个或多个。
14.按照权利要求12所述的方法,其中执行测试包括:在存储器设备的操作中进行测试。
15.按照权利要求14所述的方法,其中在存储器设备的操作中进行测试包括存储器设备的通电自测试。
16.按照权利要求11所述的方法,其中将原始数据与所确定的数据因子组组合包括数据与数据因子组的XOR(异或)运算。
17.按照权利要求11所述的方法,其中存储器设备是包括一个或多个存储器层的存储器堆叠和系统元件的堆叠存储器设备。
18.一种数据加扰系统,包括:
用于连接系统元件的总线;
与总线耦合以处理用于系统的数据的处理器;
用于发射数据的发射器,或者用于接收数据的接收器,或者用于发射数据的发射器和用于接收数据的接收器这二者;
用于数据发射的全向天线,或用于数据接收的全向天线,或用于数据发射和用于数据接收这二者的全向天线;以及
耦合到总线以保持供处理器处理的数据的存储器,该存储器包括堆叠存储器设备,该堆叠存储器设备包括:
DRAM(动态随机存取)存储器;
用于存储器的存储器控制器;
用于存储器的测试的内建自测试BIST电路;以及
用于根据针对存储器的加扰算法来加扰数据的通用数据加扰器,每一个算法至少部分地基于用于数据的地址的值,其中,通用数据加扰器包括:
保持用于算法的每一个可能结果的值的可编程查找表,该查找表生成一组数据因子;以及
用于将数据与数据因子组合以生成加扰数据的逻辑,
其中该查找表包括寄存器文件,该寄存器文件的值是基于地址的位的至少一部分的值来选择的。
19.按照权利要求18所述的系统,其中该查找表包括复用器,该复用器基于地址的一个或多个位来选择寄存器文件的值的多个集合中的一个。
20.按照权利要求18所述的系统,其中系统是计算系统。
21.按照权利要求20所述的系统,其中计算系统是平板计算机。
22.一种数据加扰设备,包括:
用于预计算用于针对存储器设备的数据加扰算法的数据值的部件;
用于将预计算的数据值加载到存储器设备的查找表中的部件;
用于接收用于存储器设备的地址和原始数据的部件;
用于基于地址从查找表确定一组数据值的部件;以及
用于将原始数据与所确定的数据值组进行组合以生成加扰数据的部件,
其中该查找表包括寄存器文件,该寄存器文件的值是基于地址的位的至少一部分的值来选择的。
23.按照权利要求22所述的设备,还包括:
用于使用存储器设备的BIST内建自测试电路来执行存储器设备的测试的部件,其中存储器设备的测试利用加扰数据。
CN201280072125.4A 2012-03-30 2012-03-30 用于存储器电路测试引擎的通用数据加扰器 Active CN104205234B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2012/031430 WO2013147841A1 (en) 2012-03-30 2012-03-30 Generic address scrambler for memory circuit test engine

Publications (2)

Publication Number Publication Date
CN104205234A CN104205234A (zh) 2014-12-10
CN104205234B true CN104205234B (zh) 2017-07-11

Family

ID=49260900

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280072125.4A Active CN104205234B (zh) 2012-03-30 2012-03-30 用于存储器电路测试引擎的通用数据加扰器

Country Status (5)

Country Link
US (1) US9190173B2 (zh)
CN (1) CN104205234B (zh)
DE (1) DE112012006172B4 (zh)
TW (1) TWI556253B (zh)
WO (1) WO2013147841A1 (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236143B2 (en) 2011-12-28 2016-01-12 Intel Corporation Generic address scrambler for memory circuit test engine
JP5846679B2 (ja) * 2012-03-30 2016-01-20 インテル・コーポレーション 積層メモリアーキテクチャのためのビルトインセルフテスト
US9697147B2 (en) 2012-08-06 2017-07-04 Advanced Micro Devices, Inc. Stacked memory device with metadata management
US8922243B2 (en) 2012-12-23 2014-12-30 Advanced Micro Devices, Inc. Die-stacked memory device with reconfigurable logic
US9170948B2 (en) 2012-12-23 2015-10-27 Advanced Micro Devices, Inc. Cache coherency using die-stacked memory device with logic die
US9065722B2 (en) 2012-12-23 2015-06-23 Advanced Micro Devices, Inc. Die-stacked device with partitioned multi-hop network
US9201777B2 (en) 2012-12-23 2015-12-01 Advanced Micro Devices, Inc. Quality of service support using stacked memory device with logic die
US9135185B2 (en) * 2012-12-23 2015-09-15 Advanced Micro Devices, Inc. Die-stacked memory device providing data translation
US9286948B2 (en) 2013-07-15 2016-03-15 Advanced Micro Devices, Inc. Query operations for stacked-die memory device
US10049763B2 (en) * 2014-05-13 2018-08-14 SK Hynix Inc. Semiconductor memory apparatus
KR20170066082A (ko) * 2015-12-04 2017-06-14 삼성전자주식회사 Bist 회로, 이를 포함하는 메모리 장치 및 이의 동작방법
US20170322906A1 (en) * 2016-05-04 2017-11-09 Chengdu Haicun Ip Technology Llc Processor with In-Package Look-Up Table
CN112631367A (zh) * 2016-02-13 2021-04-09 杭州海存信息技术有限公司 基于三维存储器的处理器
US10763861B2 (en) * 2016-02-13 2020-09-01 HangZhou HaiCun Information Technology Co., Ltd. Processor comprising three-dimensional memory (3D-M) array
CN107154797B (zh) * 2016-03-05 2021-01-08 杭州海存信息技术有限公司 基于三维印录存储器的可编程门阵列
JP2018092690A (ja) * 2016-11-30 2018-06-14 ルネサスエレクトロニクス株式会社 半導体装置および半導体集積システム
US10490251B2 (en) 2017-01-30 2019-11-26 Micron Technology, Inc. Apparatuses and methods for distributing row hammer refresh events across a memory device
CN108107867B (zh) * 2017-11-24 2019-10-11 中国人民解放军国防科技大学 一种复用系统逻辑的存储器自测试控制器实现方法及装置
WO2019222960A1 (en) 2018-05-24 2019-11-28 Micron Technology, Inc. Apparatuses and methods for pure-time, self adopt sampling for row hammer refresh sampling
US11152050B2 (en) 2018-06-19 2021-10-19 Micron Technology, Inc. Apparatuses and methods for multiple row hammer refresh address sequences
US10573370B2 (en) 2018-07-02 2020-02-25 Micron Technology, Inc. Apparatus and methods for triggering row hammer address sampling
CN108665936B (zh) * 2018-07-11 2024-03-26 长鑫存储技术有限公司 系统化封装集合体
KR102091524B1 (ko) 2018-07-23 2020-03-23 삼성전자주식회사 어드레스를 스크램블하는 메모리 장치
US10685696B2 (en) 2018-10-31 2020-06-16 Micron Technology, Inc. Apparatuses and methods for access based refresh timing
CN113168861B (zh) 2018-12-03 2024-05-14 美光科技公司 执行行锤刷新操作的半导体装置
CN117198356A (zh) 2018-12-21 2023-12-08 美光科技公司 用于目标刷新操作的时序交错的设备和方法
US10957377B2 (en) 2018-12-26 2021-03-23 Micron Technology, Inc. Apparatuses and methods for distributed targeted refresh operations
US11301369B2 (en) * 2019-01-24 2022-04-12 Western Digital Technologies, Inc. Logical to physical mapping management using low-latency non-volatile memory
US10770127B2 (en) 2019-02-06 2020-09-08 Micron Technology, Inc. Apparatuses and methods for managing row access counts
KR20200101626A (ko) * 2019-02-20 2020-08-28 에스케이하이닉스 주식회사 어드레스를 스크램블할 수 있는 반도체 시스템
US11615831B2 (en) 2019-02-26 2023-03-28 Micron Technology, Inc. Apparatuses and methods for memory mat refresh sequencing
US11043254B2 (en) 2019-03-19 2021-06-22 Micron Technology, Inc. Semiconductor device having cam that stores address signals
US11227649B2 (en) 2019-04-04 2022-01-18 Micron Technology, Inc. Apparatuses and methods for staggered timing of targeted refresh operations
US11282824B2 (en) * 2019-04-23 2022-03-22 Xilinx, Inc. Multi-chip structure including a memory die stacked on die having programmable integrated circuit
US11264096B2 (en) 2019-05-14 2022-03-01 Micron Technology, Inc. Apparatuses, systems, and methods for a content addressable memory cell with latch and comparator circuits
US11158364B2 (en) 2019-05-31 2021-10-26 Micron Technology, Inc. Apparatuses and methods for tracking victim rows
US11069393B2 (en) 2019-06-04 2021-07-20 Micron Technology, Inc. Apparatuses and methods for controlling steal rates
US10978132B2 (en) 2019-06-05 2021-04-13 Micron Technology, Inc. Apparatuses and methods for staggered timing of skipped refresh operations
US11158373B2 (en) 2019-06-11 2021-10-26 Micron Technology, Inc. Apparatuses, systems, and methods for determining extremum numerical values
US11139015B2 (en) 2019-07-01 2021-10-05 Micron Technology, Inc. Apparatuses and methods for monitoring word line accesses
US10832792B1 (en) 2019-07-01 2020-11-10 Micron Technology, Inc. Apparatuses and methods for adjusting victim data
US11386946B2 (en) 2019-07-16 2022-07-12 Micron Technology, Inc. Apparatuses and methods for tracking row accesses
US10943636B1 (en) 2019-08-20 2021-03-09 Micron Technology, Inc. Apparatuses and methods for analog row access tracking
US10964378B2 (en) 2019-08-22 2021-03-30 Micron Technology, Inc. Apparatus and method including analog accumulator for determining row access rate and target row address used for refresh operation
US11200942B2 (en) 2019-08-23 2021-12-14 Micron Technology, Inc. Apparatuses and methods for lossy row access counting
US11302374B2 (en) 2019-08-23 2022-04-12 Micron Technology, Inc. Apparatuses and methods for dynamic refresh allocation
US11302377B2 (en) 2019-10-16 2022-04-12 Micron Technology, Inc. Apparatuses and methods for dynamic targeted refresh steals
US11309010B2 (en) 2020-08-14 2022-04-19 Micron Technology, Inc. Apparatuses, systems, and methods for memory directed access pause
US11380382B2 (en) 2020-08-19 2022-07-05 Micron Technology, Inc. Refresh logic circuit layout having aggressor detector circuit sampling circuit and row hammer refresh control circuit
US11348631B2 (en) 2020-08-19 2022-05-31 Micron Technology, Inc. Apparatuses, systems, and methods for identifying victim rows in a memory device which cannot be simultaneously refreshed
US11222682B1 (en) 2020-08-31 2022-01-11 Micron Technology, Inc. Apparatuses and methods for providing refresh addresses
US11557331B2 (en) 2020-09-23 2023-01-17 Micron Technology, Inc. Apparatuses and methods for controlling refresh operations
US11222686B1 (en) 2020-11-12 2022-01-11 Micron Technology, Inc. Apparatuses and methods for controlling refresh timing
US11462291B2 (en) 2020-11-23 2022-10-04 Micron Technology, Inc. Apparatuses and methods for tracking word line accesses
US11264079B1 (en) 2020-12-18 2022-03-01 Micron Technology, Inc. Apparatuses and methods for row hammer based cache lockdown
TWI738600B (zh) * 2020-12-31 2021-09-01 致茂電子股份有限公司 位址拌碼器
US11482275B2 (en) 2021-01-20 2022-10-25 Micron Technology, Inc. Apparatuses and methods for dynamically allocated aggressor detection
US11573854B2 (en) * 2021-02-02 2023-02-07 Nvidia Corporation Techniques for data scrambling on a memory interface
US11600314B2 (en) 2021-03-15 2023-03-07 Micron Technology, Inc. Apparatuses and methods for sketch circuits for refresh binning
US11664063B2 (en) 2021-08-12 2023-05-30 Micron Technology, Inc. Apparatuses and methods for countering memory attacks
US11688451B2 (en) 2021-11-29 2023-06-27 Micron Technology, Inc. Apparatuses, systems, and methods for main sketch and slim sketch circuit for row address tracking

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101065733A (zh) * 2003-07-09 2007-10-31 艾梅尔公司 用于对集成电路中的单元内容进行加扰的方法及装置
CN101399087A (zh) * 2007-09-25 2009-04-01 智原科技股份有限公司 可编程存储器内建自测电路与时钟切换电路
CN101517588A (zh) * 2006-07-14 2009-08-26 马维尔国际贸易有限公司 片上系统(soc)测试接口安全性

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4453212A (en) * 1981-07-13 1984-06-05 Burroughs Corporation Extended address generating apparatus and method
US4928223A (en) * 1982-10-06 1990-05-22 Fairchild Semiconductor Corporation Floating point microprocessor with directable two level microinstructions
US5197132A (en) * 1990-06-29 1993-03-23 Digital Equipment Corporation Register mapping system having a log containing sequential listing of registers that were changed in preceding cycles for precise post-branch recovery
US5872951A (en) * 1996-07-26 1999-02-16 Advanced Micro Design, Inc. Reorder buffer having a future file for storing speculative instruction execution results
KR100308621B1 (ko) 1998-11-19 2001-12-17 윤종용 반도체 메모리 장치를 위한 프로그램 가능한 내장 자기 테스트 시스템
JP2001243793A (ja) 2000-02-28 2001-09-07 Kyushu Ando Denki Kk 試験パターン発生器
US7444575B2 (en) * 2000-09-21 2008-10-28 Inapac Technology, Inc. Architecture and method for testing of an integrated circuit device
US6583642B2 (en) * 2001-08-17 2003-06-24 Silicon Integrated Systems Corp. Apparatus and method for automatic determination of operating frequency with built-in self-test
US6452848B1 (en) * 2001-09-12 2002-09-17 International Business Machines Corporation Programmable built-in self test (BIST) data generator for semiconductor memory devices
US20040085082A1 (en) * 2002-10-30 2004-05-06 Townley Kent Richard High -frequency scan testability with low-speed testers
US7444564B2 (en) * 2003-11-19 2008-10-28 International Business Machines Corporation Automatic bit fail mapping for embedded memories with clock multipliers
JP2007524088A (ja) 2004-01-19 2007-08-23 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 検査アーキテクチャ及び方法
US7631236B2 (en) * 2004-01-29 2009-12-08 International Business Machines Corporation Hybrid built-in self test (BIST) architecture for embedded memory arrays and an associated method
US7194670B2 (en) * 2004-02-13 2007-03-20 International Business Machines Corp. Command multiplier for built-in-self-test
KR100540506B1 (ko) * 2004-08-03 2006-01-11 주식회사 유니테스트 메모리 소자 테스트를 위한 알고리즘 패턴 생성기 및 이를이용한 메모리 테스터
JP4309368B2 (ja) 2005-03-30 2009-08-05 エルピーダメモリ株式会社 半導体記憶装置
KR101086924B1 (ko) 2005-04-14 2011-11-29 에스케이 텔레콤주식회사 무선 근거리 모듈의 소프트웨어 원격 업그레이드 방법
US7493467B2 (en) 2005-12-16 2009-02-17 Intel Corporation Address scrambling to simplify memory controller's address output multiplexer
US7676709B2 (en) 2007-03-23 2010-03-09 Texas Instruments Incorporated Self-test output for high-density BIST
JP2008269669A (ja) 2007-04-17 2008-11-06 Renesas Technology Corp 半導体装置及びデータ処理システム
US7623365B2 (en) * 2007-08-29 2009-11-24 Micron Technology, Inc. Memory device interface methods, apparatus, and systems
US8059443B2 (en) 2007-10-23 2011-11-15 Hewlett-Packard Development Company, L.P. Three-dimensional memory module architectures
US8597960B2 (en) * 2008-03-04 2013-12-03 International Business Machines Corporation Semiconductor chip stacking for redundancy and yield improvement
JP2009289334A (ja) * 2008-05-29 2009-12-10 Toshiba Corp 半導体装置およびテスト方法
US8208326B1 (en) 2009-06-09 2012-06-26 Marvell Israel (M.I.S.L) Ltd. Method and apparatus for memory test
US20100332177A1 (en) 2009-06-30 2010-12-30 National Tsing Hua University Test access control apparatus and method thereof
US8612809B2 (en) 2009-12-31 2013-12-17 Intel Corporation Systems, methods, and apparatuses for stacked memory
DE112011106030B4 (de) * 2011-12-23 2019-10-02 Intel Corporation Selbstreparaturlogik für eine Stapelspeicherarchitektur
US9236143B2 (en) * 2011-12-28 2016-01-12 Intel Corporation Generic address scrambler for memory circuit test engine

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101065733A (zh) * 2003-07-09 2007-10-31 艾梅尔公司 用于对集成电路中的单元内容进行加扰的方法及装置
CN101517588A (zh) * 2006-07-14 2009-08-26 马维尔国际贸易有限公司 片上系统(soc)测试接口安全性
CN101399087A (zh) * 2007-09-25 2009-04-01 智原科技股份有限公司 可编程存储器内建自测电路与时钟切换电路

Also Published As

Publication number Publication date
CN104205234A (zh) 2014-12-10
US20140013169A1 (en) 2014-01-09
US9190173B2 (en) 2015-11-17
DE112012006172T5 (de) 2014-12-24
WO2013147841A1 (en) 2013-10-03
DE112012006172B4 (de) 2020-12-03
TW201407626A (zh) 2014-02-16
TWI556253B (zh) 2016-11-01

Similar Documents

Publication Publication Date Title
CN104205234B (zh) 用于存储器电路测试引擎的通用数据加扰器
CN104205232B (zh) 用于存储器设备的芯片上冗余修复
TWI508086B (zh) 用於記憶體電路測試引擎的同屬位址拌碼器
CN104205233B (zh) 用于堆叠的存储器架构的内建自测试
US10347354B2 (en) Boundary scan chain for stacked memory
CN103946980B (zh) 允许装置互连中的变化的堆栈式存储器
TWI493338B (zh) 用於記憶體的彈性命令定址的方法、堆疊記憶體裝置、儲存媒體及其系統
US7644250B2 (en) Defining pin functionality at device power on
JP6517221B2 (ja) ダイナミックランダムアクセスメモリ(dram)システムの、ポート間ループバックを用いたメモリトレーニングの実施、ならびに関連する方法、システム、および装置
CN104321824A (zh) 存储器i/o接口的非接触应力测试
US20160099077A1 (en) Test system simultaneously testing semiconductor devices
CN107209735A (zh) 用于以最小封装复杂度支持不同外部存储器类型的共用管芯
CN108122592A (zh) 半导体装置和半导体集成系统
US9570120B2 (en) Memory device and operation method thereof
US10504568B2 (en) Integrated circuit memory devices with customizable standard cell logic
US20210174889A1 (en) Memory device including test control circuit
CN116013387A (zh) 可重构智能存储芯片

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant