CN103336731B - 用于jtag驱动的远程扫描的方法和装置 - Google Patents

用于jtag驱动的远程扫描的方法和装置 Download PDF

Info

Publication number
CN103336731B
CN103336731B CN201310048479.1A CN201310048479A CN103336731B CN 103336731 B CN103336731 B CN 103336731B CN 201310048479 A CN201310048479 A CN 201310048479A CN 103336731 B CN103336731 B CN 103336731B
Authority
CN
China
Prior art keywords
input
scanning
output
logic
scan chain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310048479.1A
Other languages
English (en)
Other versions
CN103336731A (zh
Inventor
M·多尔
B·格凯斯
H·霍巴赫
M·米歇尔
M·沃尔兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103336731A publication Critical patent/CN103336731A/zh
Application granted granted Critical
Publication of CN103336731B publication Critical patent/CN103336731B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/267Reconfiguring circuits for testing, e.g. LSSD, partitioning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318572Input/Output interfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一种用于微处理器的扫描电路(JTAG 1149扩展)利用传送逻辑和在比外部JTAG时钟更快的时钟速度操作的扫描链。传送逻辑将输入串行数据流(TDI)转换成向扫描链发送的输入数据分组,并且将输出数据分组转换成输出数据流(TDO)。传送逻辑包括具有分片式输入缓冲器的去串行化器和具有分片式输出缓冲器的串行化器。扫描电路可以用于用边界扫描锁存器测试或者控制微处理器的内部功能。由从外部时钟的过采样中生成的thold信号控制的本地时钟缓冲器可以用来分布时钟信号。结果是一种不受外部JTAG时钟速度限制、从而允许在单个外部JTAG周期内完成多个内部扫描操作的JTAG扫描系统。

Description

用于JTAG驱动的远程扫描的方法和装置
技术领域
本发明主要地涉及数据处理系统,并且更具体地涉及一种使用贯穿由外部源供应的串行数据流依次移位的扫描链来操作或者测试微处理器或者其它计算机系统部件的方法。
背景技术
集成电路用于从简单设备、比如腕表到多数强大计算机系统的广泛多种电子应用。微电子集成电路芯片一般可以视为在半导体衬底(例如硅)上形成的逻辑单元的汇集而在单元之间有电互连。集成电路可以包括很大量单元并且需要在单元之间的复杂连接,这些连接包括可用于寻路由的不同传导介质层。
集成电路已经变得越来越复杂、特别是用作诸如微处理器、适配器芯片等计算机系统部件的设备。这些复杂设计掩埋于微芯片层中,因此可能难以测试或者以别的方式保证恰当性能。已经设计不同方式用于测试集成电路,这些方式之一涉及到使用串行数据流,该串行数据流形成向集成电路的所选输入中馈送的测试图案。这一技术的称为电平灵敏扫描设计(LSSD)的早期版本利用扫描线或者链,该扫描线或者链互连集成电路中集成的多个控制锁存器。数据流将控制锁存器设置成如测试例程希望的预定义状态。这一设计已经演变成电气和电子工程师协会的用于边界扫描测试的联合测试行动小组(JTAG)标准1149。图1图示了可以在处理单元10内嵌入的用于实施JTAG标准的普遍逻辑的简化例子。处理单元10被构造为单个集成电路半导体器件并且一般包括两个处理核12a和12b、存储器子系统14和JTAG接口16。虽然示出了两个处理器核为包含于一个集成芯片10上,但是可以有更少或者更多处理器核。每个处理器核12a、12b具有它自己的控制逻辑18a、18b、执行单元20a、20b和寄存器/缓冲器22a、22b的单独集合、相应一级(L1)高速缓存24a、24b和加载/存储单元(LSU)26a、26b。执行单元20a、20b包括各种算术单元,比如顶点单元和浮点单元以及指令取读单元和指令定序器单元。寄存器20a、20b包括通用寄存器、专用寄存器和重命名缓冲器。L1高速缓存26a、26b(优选地包括每个核中的单独指令和数据高速缓存)和加载/存储单元24a、24b与存储器子系统14通信以从/向存储器分级读取/写入数据。存储器子系统14可以包括二级(L2)高速缓存和存储器控制器。
JTAG接口16具有若干外部输入并且具有一个外部输出,即数据流TDO,这些外部输入包括数据流TDI、状态信号TMS和时钟信号。TCK信号与核12a、12b和存储器子系统14或者微处理器10的其它部件使用的功能时钟分离。JTAG输入和输出可以连接到控制JTAG测试例程的服务处理器或者控制台设备。在处理单元10内部,JTAG 16具有单个扫描链28,该扫描链以环方式互连在功能单元中嵌入的若干锁存器或者附属件(satellite)集合;在这一例子中,有在核12a、12b的每个控制逻辑18a、18b中示出的扫描锁存器集合和在存储器子系统14中示出的另一集合。测试接口的操作由测试访问端口电路支配,该测试访问端口实质上是状态机,该状态机的转变由TMS和TCK信号控制。尽管为了简化而图示了仅三个扫描附属件,但是本领域技术人员理解可以在现有技术的设计中有数十万个附属件。
对JTAG标准1149的扩展可以允许系统还执行和控制集成电路的内部功能方面。例如扫描附属件可以具有可以用来启用和校验部件中的各种功能的内部控制和误差寄存器(以及模式、状态等寄存器)。也可以启用芯片上的任何部件中的寄存器的任何子集。芯片设计者可以选择特定应用可能希望的无论任何配置、例如用于诊断例程的故障指示器。以这一方式,服务处理器或者测试设备可以在系统运行而无中断之时经由JTAG接口16和访问寄存器访问多处理系统中的任何芯片以设置模式、脉冲控制、发起接口对准过程、读取FIR的状态等。可以使用附加控制器(未示出)来实现这些功能,该附加控制器设置内部命令寄存器和内部数据寄存器,并且在部件上、特别是在处理器核12a、12b中运行的汇编代码可以允许核利用这些特征。例如核可以读取另一部件的状态位并且控制它自己的芯片上的任何地方的逻辑而且还可以经由其它JTAG接口访问其它芯片上的部件。
发明内容
本发明主要地涉及一种用于通过以下操作向扫描链中扫描图案数据的方法和装置,扫描链互连在集成电路半导体器件的一个或者多个功能单元中嵌入的多个扫描单元:在集成电路的扫描接口处从在集成电路以外的源接收外部时钟信号,外部时钟信号具有第一频率;在集成电路的扫描接口的输入处接收代表图案数据的输入串行数据流;使用第一传送逻辑从扫描接口的输入处向扫描链传递输入串行数据流作为移位数据;经过由内部时钟信号控制的扫描链移位移位数据以生成返回数据,内部时钟信号具有比第一频率更快的第二频率;并且使用第二传送逻辑从扫描链处向扫描接口的输出传递返回数据作为输出串行数据流。优选地通过对外部时钟信号过采样来生成内部时钟信号。可以通过将输入串行数据流的至少部分转换成输入数据分组并且使用传送协议向扫描链发送输入数据分组来传递输入串行数据流;类似地,可以通过使用传送协议从扫描链处接收输出数据分组并且将输出数据分组转换成输出串行数据流的至少部分来传递返回数据。在示例实施例中,第一传送逻辑包括输入缓冲器,输入缓冲器具有接收输入串行数据流的部分的多个输入缓冲器分片,并且第二传送逻辑包括输出缓冲器,输出缓冲器具有发送输出串行数据流的部分的多个输出缓冲器分片。在一个实施方式中,图案数据是操作数据,并且扫描单元是启用一个或者多个功能单元的功能的控制寄存器。在一个替代实施方式中,图案数据是测试数据,并且扫描单元是边界扫描锁存器。本发明也可以应用于具有多个扫描链的扫描电路,在该情况下,第一传送逻辑和第二传送逻辑具有用于为输入/输出分组选择正确扫描链的复用装置。本发明可以提供附加时钟域,例如第一传送逻辑和第二传送逻辑可以由也比外部时钟更快的第二内部时钟信号控制。
本发明的上述以及附加目的、特征和优点将在以下具体书面描述中变得清楚。
附图说明
通过参照附图可以更好地连接本发明,并且它的许多目的、特征和优点变得为本领域技术人员所清楚。
图1是常规双核处理单元的高级框图,该框图示出了用于扫描链的JTAG接口,该扫描链以环方式将在功能部件中嵌入的扫描单元互连;
图2是根据本发明构造的集成电路的一个实施例的高级框图,该集成电路具有由比JTAG接口供应的测试时钟更快的时钟控制的单个扫描链;
图3是根据本发明构造的集成电路的另一实施例的高级框图,该集成电路具有由单独(更快)时钟控制的多个扫描链;
图4A和图4B是根据本发明的、与协议引擎结合用来将输入扫描数据流转换成用于多个扫描链的单独数据分组并且将来自多个扫描链的数据分组转换成输出扫描数据流的去串行化器和串行化器的框图;
图5是根据本发明一个实施方式的与图4A和图4B的去串行化器和串行化器结合用来设置用于不同扫描链的数据分组的设置逻辑的框图;
图6是根据本发明构造的集成电路的又一实施例的框图,其中集成电路的内部功能在单独(更快)时钟域中由扫描链控制;并且
图7是根据本发明构造的集成电路的又一实施例的框图,该框图图示了对外部JTAG时钟信号过采样以生成用来控制本地时钟缓冲器的thold信号,这些本地时钟缓冲器分布扫描链时钟信号。
在不同图中使用相同标号指示相似或者相同项目。
具体实施方式
如下测试或者电路控制对电路设计者很有帮助,该测试或者电路控制使用经过扫描单元依次移位数据的扫描链,但是这一方式具有若干缺点。依次扫描仅允许移位位于一个单扫描链上的锁存器,即所有扫描锁存器相互连接。扫描速度因而限制在扫描链上的两个锁存器之间的距离。反言之,如果在依次成对锁存器之间希望更大距离,则限制扫描速度。然而扫描速度(外部JTAG时钟TCK)也决定测试或者操作的总操作速度。对于很大扫描链而言,可能需要过量时间经过所有数据移位。利用JTAG标准的现代测试可以涉及到经过扫描链移位数百万位。TCK持续时间还限制JTAG指令触发的内部操作的复杂性。典型TCK速度在范围10-25MHz中。
另一问题涉及用于扫描链的时钟信号的分布。整个扫描链必须由相同移位时钟驱动,即可以向所有内部锁存器分布外部JTAG时钟。随着锁存器数目继续增长,JTAG时钟树类似地在复杂性上增长从而使设计甚至更难以综合。
鉴于前文,将希望设计一种未这样受外部JTAG时钟速度或者JTAG时钟树限制的改进JTAG扫描方法。如果该方法可以允许多个内部扫描操作在单个外部JTAG周期内完成,则这将类似地有利。本发明通过提供用于扫描链的比JTAG时钟更快的新时钟信号来实现这些目的。还可以有利地用时钟网络(mesh)实施本发明,该时钟网络使用JTAG时钟的过采样。来自测试访问端口的保持信号可以用来向网络的本地时钟缓冲器指示何时承认(honor)用于内部时钟分布的信号。
现在参照附图并且具体参照图2,描绘了根据本发明构造的集成电路的一个实施例30。集成电路30可以例如是微处理器,但是这一例子并非为了在限制意义上加以解释,因为本发明适用于任何类型的半导体器件,这包括“片上系统”或者比图1中所示微处理器更复杂的微处理器、例如具有更高级(板上)高速缓存的微处理器。集成电路10根据设计的性质包括各种功能单元(在图2中未示出)。集成电路30还包括用于测试和/或操作的普遍逻辑,该测试和/或操作使用扫描链32,该扫描链互连多个扫描单元(例如锁存器),这些扫描单元是功能单元的输入或者输出。然而,扫描链32未由外部JTAG信号TCK钟控而实际上由单独时钟信号CLK_1控制。示出了外部CLK_1信号为源于集成电路30外部,但是也可以使用常规部件(比如振荡器和锁相环)来内部生成它。
在这一实施方式中,向经由测试访问端口36(即JTAG接口)由更慢TCK信号控制的输入锁存器34发送数据流TDI。输入锁存器34的输出被连接到第一分组传送电路38。分组传送电路38使用任何方便的分组协议(例如TCP/IP)将串行数据流转换成数据分组。分组然后以更快CLK_1速度沿着扫描链32发送,并且根据使用的特定协议由链中的每个扫描单元处理。扫描链32的输出连接到第二分组传送电路38b,该第二分组传送电路取得分组数据并且将它转换回成串行流而且向输出锁存器40转发它。输出锁存器40的输出是符合JTAG的TDO扫描数据。因此,尽管扫描链能够更快完成它的操作,但是这些操作对于仅看见由更慢JTAG时钟控制的正常JTAG接口的外部JTAG主控器而言为透明。尽管示例实施例利用TCP/IP,但是可以使用其它传送机制/协议,比如PCI Express、Infiniband或者HyperTransport。
现在参照图3,描绘了根据本发明构造的集成电路的更复杂实施例30’。集成电路30’将相似分组传送协议用于扫描测试/操作,但是现在具有多个扫描链。第二内部时钟CLK_2用于TAP以及排除扫描链的其它内部电路(两个协议引擎还可以在单独时钟上运行)。CLK_2信号的频率类似地比TCK的频率更快。前端传送电路52a包括缓冲输入数据流用于向扫描链之一的有选择发送的去串行化器54a和移位设置编码逻辑56a。后端传送电路52a类似地包括根据缓冲的数据分组构造输出数据流的移位设置解码逻辑56b和串行化器54b。
缓冲由于使用的传送协议的延时而特别有利并且可以参照图4A-4B和5来更好地理解。在外部JTAG主控器向芯片发送JTAG扫描操作时,测试访问端口(TAP)逻辑对JTAG指令解码。当对用于远程扫描的JTAG指令解码以将JTAG引擎切换成Update-IR状态(JTAG标准使用该状态以指示应当更新TAP控制器的指令寄存器)时,向协议引擎60馈给设置数据以配置用于不同扫描链的扫描链复用器。设置数据可以基于特定实施方式的细节由复用器选择控制、移位长度和移位速度构成。当JTAG引擎移向Shift-DR状态(JTAG标准使用该状态以指示测试/操作数据传入)时,向输入缓冲器62的一个分片(分片A)中移位串行JTAG数据流。计数器可以用来控制所得数据分组大小。一旦数据移位达到缓冲器分片的大小(或者测试访问端口离开Shift DR状态),向协议引擎60转发分片A的内容。在这一相同时间,TDI线上的串行数据流切换以将数据向分片B寻路由用于创建下一数据分组。
如图5中可见,协议引擎60将数据分组与设置数据一起向移位设置编码逻辑56a转发。移位引擎向指定的扫描链选择性地发送分组作为移位数据,从而使用输入分片数据按照分片中的位数移位输入扫描链,即以组块为单位按输入缓冲器分片的大小将移位数据。协议引擎60也从链接收移位数据,因此在协议引擎60向扫描链传递来自去串行化器54a的图案数据时,它可以同时(在来自JTAGShift-DR状态的相同触发时)向串行化器54b选择性地传递来自另一扫描链的返回数据。如图4B中进一步所见,串行化器54b包括与去串行化器54a相似的缓冲器结构,但是这时用作输出缓冲器64。以相似交织方式,当输出缓冲器64的一个分片从协议引擎接收数据时,输出缓冲器64的另一分片可以将数据放置于输出TDO串行线上。
在图3的简化例子中,存在经由一个复用器集合访问的三个扫描链,但是在具有大量扫描链的实施方式中,还可以在各自具有相应复用器的多个集合中布置它们。在这样的情况下,可以如图3中的虚线所示提供附加移位设置编码/解码逻辑电路。
在示例实施方式中,输入缓冲器和输出缓冲器各自由具有相同大小的两个分片构成,但是缓冲器的大小和数目可以变化。输入/输出缓冲器的适当大小一般可以取决于所选协议的延时及其关联协议引擎。为了覆盖增加的延时,可以增加分片数目或者每个分片的大小。为了更高TCK时钟速度,也可以增加缓冲器大小。
通过使用多个输入缓冲器分片和输出缓冲器分片,向外部JTAG主控器完全隐藏来自协议使用的开销。然而芯片内部JTAG功能或者JTAG触发的协议需要比JTAG外部主控设备更快运行。尽管扫描时钟信号CLK_1在JTAG时钟信号TCK期间的任何增加可以有利,但是本发明优选地使用CLK_1时钟速度,该CLK_1时钟速度是TCK时钟速度的至少五倍并且更优选地快约十倍,例如对于以25MHz操作的JTAG时钟,CLK_1信号可以是250MHz。还可以与适当交织器逻辑(未示出)并行操作多个扫描链。
尽管本发明使用与其中扫描附属件是边界扫描锁存器的测试,但是它同样适用于其中扫描附属件包括存储元件、控制寄存器等的操作使用。图6图示了这样的实施方式30’,其中经由扫描链访问各种复杂内部功能(IFA1-IFA5)。所有内部功能是与测试访问端口使用的CLK_2时钟域分离的CLK_1时钟域的部分。在图6中图示了仅一个扫描链,但是本发明可以与用于不同内部功能集合的多个扫描链一起实施。在这样的情况下,不同内部功能集合可以具有不同内部时钟域、即用于每个扫描链的不同域(可以提供未与CLK_1或者TCK同步的附加时钟域)。
在本发明的优选实施例中,所有芯片内部扫描逻辑在更快时钟上运行,但是JTAG逻辑仍然对外部TCK信号的上升和下降沿有反应。内部逻辑还优选地同步运行以维持JTAG时钟到数据的对应性。图7描绘了用于本发明的优选时钟分布系统70。时钟分布系统70利用本地时钟缓冲器(LCB)72以创建时钟网络或者网格,该时钟网络或者网格与大型常规JTAG时钟树相比更高效地分布同步的时钟信号。LCB 72由从TCK信号(例如CLK_2)的过采样中生成的thold信号控制(门控)。时钟网格可以重用芯片上的现有时钟设施。
虽然已经参照具体实施例描述本发明,但是这一描述并非为了在限制意义上加以解释。公开的实施例的各种修改以及本发明的替代实施例将在参照本发明的描述时变得为本领域技术人员所清楚。因此设想可以进行这样的修改而未脱离如在所附权利要求中限定的本发明的精神实质或者范围。

Claims (20)

1.一种向扫描链中扫描图案数据的方法,所述扫描链将集成电路的一个或者多个功能单元中嵌入的多个扫描单元互连,所述方法包括:
在所述集成电路的扫描接口处从在所述集成电路以外的源接收外部时钟信号,所述外部时钟信号具有第一频率;
在所述集成电路的所述扫描接口的输入处接收代表所述图案数据的输入串行数据流;
使用第一传送逻辑从所述扫描接口的所述输入向所述扫描链传递所述输入串行数据流作为移位数据;
经过由内部时钟信号控制的所述扫描链移位所述移位数据以生成返回数据,所述内部时钟信号具有比所述第一频率更快的第二频率;以及
使用第二传送逻辑从所述扫描链向所述扫描接口的输出传递所述返回数据作为输出串行数据流。
2.根据权利要求1所述的方法,其中:
所述传递所述输入串行数据流包括将所述输入串行数据流的至少部分转换成输入数据分组并且使用传送协议向所述扫描链发送所述输入数据分组;并且
所述传递所述返回数据包括使用所述传送协议从所述扫描链接收输出数据分组并且将所述输出数据分组转换成所述输出串行数据流的至少部分。
3.根据权利要求2所述的方法,其中:
在所述第一传送逻辑的输入缓冲器中缓冲所述输入串行数据流的所述部分;并且
在所述第二传送逻辑的输出缓冲器中缓冲所述输出串行数据流的所述部分。
4.根据权利要求1所述的方法,其中通过对所述外部时钟信号过采样来生成所述内部时钟信号。
5.根据权利要求1所述的方法,其中所述图案数据是操作数据,并且所述扫描单元是启用所述一个或者多个功能单元的功能的控制寄存器。
6.根据权利要求1所述的方法,其中所述图案数据是测试数据,并且所述扫描单元是边界扫描锁存器。
7.根据权利要求1所述的方法,其中:
所述扫描链是所述集成电路中的、可由所述第一传送逻辑和所述第二传送逻辑访问的多个扫描链之中的第一扫描链;
向所述第一扫描链选择性地传递所述输入串行数据流;以及
从所述第一扫描链选择性地传递所述输出串行数据流。
8.根据权利要求1所述的方法,其中所述内部时钟信号是第一内部时钟信号,并且所述第一传送逻辑和所述第二传送逻辑由第二内部时钟信号控制,所述第二内部时钟信号具有比所述第一频率更快的第三频率。
9.一种用于半导体器件的扫描电路,所述半导体器件具有一个或者多个功能单元,所述扫描电路包括:
至少一个扫描链,所述扫描链互连在所述一个或者多个功能单元中嵌入的多个扫描单元,所述扫描链由具有第一频率的内部时钟信号控制;
包括扫描输入和扫描输出的扫描接口,所述扫描接口适于接收具有比所述第一频率更慢的第二频率的外部时钟信号;
第一传送逻辑,所述第一传送逻辑从所述扫描输入向所述扫描链传递代表图案数据的输入串行数据流;以及
第二传送逻辑,所述第二传送逻辑从所述扫描链向所述扫描输出传递输出串行数据流。
10.根据权利要求9所述的扫描电路,其中:
所述第一传送逻辑将所述输入串行数据流的至少部分转换成输入数据分组并且使用传送协议向所述扫描链发送所述输入数据分组;并且
所述第二传送逻辑使用所述传送协议从所述扫描链接收输出数据分组并且将所述输出数据分组转换成所述输出串行数据流的至少部分。
11.根据权利要求10所述的扫描电路,其中:
所述第一传送逻辑包括输入缓冲器,所述输入缓冲器接收所述输入串行数据流的所述部分;并且
所述第二传送逻辑包括输出缓冲器,所述输出缓冲器发送所述输出串行数据流的所述部分。
12.根据权利要求9所述的扫描电路,其中通过对所述外部时钟信号过采样来生成所述内部时钟信号。
13.根据权利要求9所述的扫描电路,其中所述图案数据是操作数据,并且所述扫描单元是启用所述一个或者多个功能单元的功能的控制寄存器。
14.根据权利要求9所述的扫描电路,其中所述图案数据是测试数据,并且所述扫描单元是边界扫描锁存器。
15.根据权利要求9所述的扫描电路,其中:
所述扫描链是所述半导体器件中的、可由所述第一传送逻辑和所述第二传送逻辑访问的多个扫描链之中的第一扫描链;
所述第一传送逻辑包括用于向所述第一扫描链选择性地传递所述输入串行数据流的第一复用器装置;并且
所述第二传送逻辑包括用于从所述第一扫描链选择性地传递所述输出串行数据流的第二复用器装置。
16.根据权利要求9所述的扫描电路,其中所述内部时钟信号是第一内部时钟信号,并且所述第一传送逻辑和所述第二传送逻辑由第二内部时钟信号控制,所述第二内部时钟信号具有比所述第一频率更快的第三频率。
17.一种微处理器,包括:
多个功能单元,所述多个功能单元包括一个或者多个处理器核和操作地连接到所述一个或者多个处理器核的存储器子系统;
多个扫描链,所述多个扫描链将所述功能单元中嵌入的扫描单元的各集合互连,所述扫描链由具有第一频率的内部时钟信号控制;
包括扫描输入和扫描输出的扫描接口,所述扫描输入和所述扫描输出由具有比所述第一频率更慢的第二频率的外部时钟信号控制;
第一传送逻辑,所述第一传送逻辑将在所述扫描输入的输入串行数据流转换成输入数据分组并且使用传送协议向所述扫描链选择性地发送所述输入数据分组;以及
第二传送逻辑,所述第二传送逻辑使用所述传送协议从所述扫描链选择性地接收输出数据分组并且将所述输出数据分组转换成在所述扫描输出的输出串行数据流。
18.根据权利要求17所述的微处理器,其中所述第一传送逻辑和所述第二传送逻辑使用共同协议引擎以将所述输入串行数据流转换成所述输入数据分组并且将所述输出数据分组转换成所述输出串行数据流。
19.根据权利要求17所述的微处理器,其中:
所述第一传送逻辑包括输入缓冲器,所述输入缓冲器具有接收所述输入串行数据流的部分的多个输入缓冲器分片;并且
所述第二传送逻辑包括输出缓冲器,所述输出缓冲器具有发送所述输出串行数据流的部分的多个输出缓冲器分片。
20.根据权利要求17所述的微处理器,其中所述内部时钟信号是第一内部时钟信号,并且所述第一传送逻辑和所述第二传送逻辑由第二内部时钟信号控制,所述第二内部时钟信号具有比所述第一频率更快的第三频率。
CN201310048479.1A 2012-02-15 2013-02-06 用于jtag驱动的远程扫描的方法和装置 Active CN103336731B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/397,544 US8914693B2 (en) 2012-02-15 2012-02-15 Apparatus for JTAG-driven remote scanning
US13/397,544 2012-02-15

Publications (2)

Publication Number Publication Date
CN103336731A CN103336731A (zh) 2013-10-02
CN103336731B true CN103336731B (zh) 2016-08-17

Family

ID=48946677

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310048479.1A Active CN103336731B (zh) 2012-02-15 2013-02-06 用于jtag驱动的远程扫描的方法和装置

Country Status (2)

Country Link
US (2) US8914693B2 (zh)
CN (1) CN103336731B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8904256B1 (en) * 2012-11-09 2014-12-02 Cadence Design Systems, Inc. Method and apparatus for low-pin count testing of integrated circuits
US20140201583A1 (en) * 2013-01-15 2014-07-17 Scaleo Chip System and Method For Non-Intrusive Random Failure Emulation Within an Integrated Circuit
US9275757B2 (en) 2013-02-01 2016-03-01 Scaleo Chip Apparatus and method for non-intrusive random memory failure emulation within an integrated circuit
CN103645434A (zh) * 2013-11-28 2014-03-19 陕西千山航空电子有限责任公司 一种远程jtag实现方法
US20150316971A1 (en) * 2014-05-02 2015-11-05 Avalanche Technology, Inc. Method and apparatus to reduce power consumption of mobile and portable devices with non-volatile memories
US9817066B1 (en) * 2014-08-26 2017-11-14 Xilinx, Inc. Configurable JTAG-to-serial bus translator
CN104330978A (zh) * 2014-09-30 2015-02-04 苏州天准精密技术有限公司 一种长距离传输jtag信号的装置、仿真系统及其方法
US9791505B1 (en) * 2016-04-29 2017-10-17 Texas Instruments Incorporated Full pad coverage boundary scan
US20200027297A1 (en) * 2018-07-23 2020-01-23 Dominion Voting Systems, Inc. Voting techniques using virtual or augmented reality
US10922203B1 (en) * 2018-09-21 2021-02-16 Nvidia Corporation Fault injection architecture for resilient GPU computing
CN111104278B (zh) * 2018-10-29 2022-02-22 英业达科技有限公司 Sas连接器导通检测系统及其方法
CN111104279B (zh) * 2018-10-29 2021-11-12 英业达科技有限公司 Sas连接器导通检测系统及其方法
CN111289886B (zh) * 2020-03-16 2021-02-02 电子科技大学 一种基于边界扫描测试链路的故障注入方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5983379A (en) * 1996-10-31 1999-11-09 Sgs-Thomson Microelectronics Limited Test access port controller and a method of effecting communication using the same
CN101065679A (zh) * 2004-11-22 2007-10-31 飞思卡尔半导体公司 集成电路及用于测试多tap集成电路的方法
CN101297208A (zh) * 2005-10-24 2008-10-29 Nxp股份有限公司 Ic测试方法和设备

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434804A (en) 1993-12-29 1995-07-18 Intel Corporation Method and apparatus for synchronizing a JTAG test control signal to an on-chip clock signal
US5896046A (en) 1997-01-27 1999-04-20 International Business Machines Corporation Latch structure for ripple domino logic
KR100240662B1 (ko) 1997-09-25 2000-01-15 윤종용 제이태그에 의한 다이나믹램 테스트장치
US6539491B1 (en) 1999-11-08 2003-03-25 International Business Machines Corporation Method and apparatus for implementing IEEE 1149.1 compliant boundary scan
US6532544B1 (en) 1999-11-08 2003-03-11 International Business Machines Corporation High gain local clock buffer for a mesh clock distribution utilizing a gain enhanced split driver clock buffer
US6654917B1 (en) 2000-09-07 2003-11-25 International Business Machines Corporation Method and apparatus for scanning free-running logic
US6748563B1 (en) 2000-11-02 2004-06-08 International Business Machines Corporation Method and apparatus for testing path delays in a high-speed boundary scan implementation
JP4748896B2 (ja) 2001-08-10 2011-08-17 ルネサスエレクトロニクス株式会社 同期型データ転送処理装置
US20030074616A1 (en) * 2001-10-12 2003-04-17 Dorsey Michael C. ASIC BIST controller employing multiple clock domains
US20030163773A1 (en) 2002-02-26 2003-08-28 O'brien James J. Multi-core controller
EP1544631B1 (en) 2003-12-17 2007-06-20 STMicroelectronics Limited Reset mode for scan test modes
DE602005006524D1 (de) 2004-01-13 2008-06-19 Nxp Bv Jtag-testarchitektur für ein mehrchip-pack
US7650542B2 (en) 2004-12-16 2010-01-19 Broadcom Corporation Method and system of using a single EJTAG interface for multiple tap controllers
US7248070B1 (en) 2005-02-16 2007-07-24 Altera Corporation Method and system for using boundary scan in a programmable logic device
US7587643B1 (en) * 2005-08-25 2009-09-08 T-Ram Semiconductor, Inc. System and method of integrated circuit testing
US7484153B2 (en) 2005-12-06 2009-01-27 Kabushiki Kaisha Toshiba Systems and methods for LBIST testing using isolatable scan chains
US8510616B2 (en) * 2008-02-14 2013-08-13 Nvidia Corporation Scalable scan-based test architecture with reduced test time and test power
US7779320B2 (en) * 2008-02-21 2010-08-17 Lsi Corporation Low power scan shifting with random-like test patterns
US7930601B2 (en) * 2008-02-22 2011-04-19 International Business Machines Corporation AC ABIST diagnostic method, apparatus and program product
US7908534B2 (en) 2008-02-25 2011-03-15 International Business Machines Corporation Diagnosable general purpose test registers scan chain design
JP4992791B2 (ja) 2008-03-28 2012-08-08 富士通株式会社 スキャン制御方法及び装置
JP5067266B2 (ja) 2008-06-04 2012-11-07 富士通株式会社 Jtag機能付き集積回路ボード
CN101382583B (zh) 2008-10-30 2010-09-08 中国人民解放军国防科学技术大学 多核微处理器jtag调试方法
US8448008B2 (en) 2009-03-27 2013-05-21 Mentor Graphics Corporation High speed clock control
CN101719088B (zh) 2009-11-23 2012-09-26 龙芯中科技术有限公司 一种对处理器芯片进行在线检测的装置和方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5983379A (en) * 1996-10-31 1999-11-09 Sgs-Thomson Microelectronics Limited Test access port controller and a method of effecting communication using the same
CN101065679A (zh) * 2004-11-22 2007-10-31 飞思卡尔半导体公司 集成电路及用于测试多tap集成电路的方法
CN101297208A (zh) * 2005-10-24 2008-10-29 Nxp股份有限公司 Ic测试方法和设备

Also Published As

Publication number Publication date
US9110137B2 (en) 2015-08-18
US20140089749A1 (en) 2014-03-27
CN103336731A (zh) 2013-10-02
US8914693B2 (en) 2014-12-16
US20130212445A1 (en) 2013-08-15

Similar Documents

Publication Publication Date Title
CN103336731B (zh) 用于jtag驱动的远程扫描的方法和装置
CN101300500B (zh) Ic测试方法及设备
US9523738B2 (en) TCK/TMS(C) counter circuitry with third, fourth count and reset outputs
Stevens et al. An asynchronous instruction length decoder
CN103415777B (zh) 用于进行功能和结构测试和调试的基于功能结构测试控制器
Roncken et al. Naturalized communication and testing
Pouya et al. Synthesis of zero-aliasing elementary-tree space compactors
EP0245463A1 (en) Built-in self-test system for vlsi circuit chips
CN108228526A (zh) 电源隔离电路以及多电源域多电源隔离系统
JPH09178822A (ja) テスト可能なプログラマブル・ゲート・アレイとそのテスト方法
CN101663648A (zh) 低功耗扫描测试技术及装置
Raik et al. An external test approach for network-on-a-chip switches
US20140101501A1 (en) Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
JPWO2006132329A1 (ja) マイクロコンピュータ及びそのテスト方法
KR20100107493A (ko) 시스템 온 칩 장치
US7971170B2 (en) Methods for forming area-efficient scan chains in integrated circuits, and integrated circuits embodying the same
US20120232881A1 (en) Trace Routing Network
US6467044B1 (en) On-board clock-control templates for testing integrated circuits
US10996271B1 (en) Fast IJTAG
CN104011553A (zh) 测试信息的基于分组的传播
KR100564894B1 (ko) 반도체 집적회로 및 테스트 용이화 회로의 자동삽입방법
Idris et al. A VHDL implementation of BIST technique in UART design
Dervisoglu A unified DFT architecture for use with IEEE 1149.1 and VSIA/IEEE P1500 compliant test access controllers
Bhandari et al. A low power test pattern generator for minimizing switching activities and power consumption
US20170329884A1 (en) Scan Logic For Circuit Designs With Latches And Flip-Flops

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant