CN103034059A - 光致抗蚀剂和光刻方法 - Google Patents

光致抗蚀剂和光刻方法 Download PDF

Info

Publication number
CN103034059A
CN103034059A CN2011102956478A CN201110295647A CN103034059A CN 103034059 A CN103034059 A CN 103034059A CN 2011102956478 A CN2011102956478 A CN 2011102956478A CN 201110295647 A CN201110295647 A CN 201110295647A CN 103034059 A CN103034059 A CN 103034059A
Authority
CN
China
Prior art keywords
photoresist
light
wave band
component
chemical substance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011102956478A
Other languages
English (en)
Other versions
CN103034059B (zh
Inventor
伍强
顾一鸣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Beijing Corp
Priority to CN201110295647.8A priority Critical patent/CN103034059B/zh
Priority to US13/405,235 priority patent/US20130084526A1/en
Publication of CN103034059A publication Critical patent/CN103034059A/zh
Application granted granted Critical
Publication of CN103034059B publication Critical patent/CN103034059B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明公开了一种光致抗蚀剂以及使用该光致抗蚀剂进行光刻的方法。光致抗蚀剂中含有第一组分和第二组分,并且第一组分和第二组分对光的敏感波段基本不同。通过使用波长为两个敏感波段之一的光对光致抗蚀剂进行曝光,使得第一组分产生第一化学物质,然后使用另一个敏感波段的光对光致抗蚀剂进行均匀照射,使得第二组分产生第二化学物质,从而能够改善在光致抗蚀剂中所形成的第一化学物质的潜像的对比度,由此得到的光刻图案具有更好的边缘粗糙度。

Description

光致抗蚀剂和光刻方法
技术领域
本发明涉及光致抗蚀剂和使用该光致抗蚀剂的光刻方法,特别涉及一种使用化学放大作用的光致抗蚀剂和使用该光致抗蚀剂的光刻方法。
背景技术
微电子产业的飞速发展要求半导体器件的特征尺寸越来越小,器件特征尺寸的减小一方面依赖于曝光工具,另一方面也与光致抗蚀剂的选择密切相关。因此,与光刻技术相应的光致抗蚀剂的选择与应用也成为光刻工艺中的一个重要研究内容。
光刻技术的进步促进了光致抗蚀剂性能的不断完善。利用化学放大作用的光致抗蚀剂具有高灵敏度、强的耐干法腐蚀性等优点,有利于半导体后续加工工艺的进行,因此在半导体工艺领域具有广阔的应用前景。在光刻领域正逐渐受到人们的关注。可以相信,工艺性能得到更加完善稳定的化学放大光致抗蚀剂将在半导体工业中发挥重要作用。
化学放大光致抗蚀剂一般包括三个部分:基质树脂、有机溶剂和用于产生化学放大作用的光致产酸剂(photoacid generator,PAG)。化学放大光致抗蚀剂经曝光或光照后,PAG吸收能量发生光分解,生成自由酸,发生酸催化反应,使曝光区域的基质树脂发生保护基团的去除反应或树脂与交联剂之间的交联反应,形成正性或负性潜像,在一定的溶剂中显影形成曝光图案。此外,也有一些化学放大光致抗蚀剂使用光致产碱剂(photobase generator,PBG)来替代光致产酸剂,通过光碱(photo-base)来产生碱催化反应,同样可以与基质树脂发生保护基团的去除反应或树脂与交联剂之间的交联反应,形成正性或负性潜像。
但是,有两种因素会降低潜像的对比度。
一种因素是光酸(photo-acid)的扩散。通过第一波段的光照射产生的光酸会通过自由运动从质量浓度高的地方逐渐扩散到质量浓度低的地方。这样,光酸的质量浓度分布将偏离光学图像,从而使光酸的潜像的对比度降低。
第二种因素是光学衍射。理论上,通过掩模形成的光学图像应该是简单的二值图像,即光学图像中,对应于被掩模遮挡部分的图像的光强为零,而对应于掩模的透光部分的图像的光强为常数。然而,实际上,随着半导体工艺关键尺寸的不断减小,光的衍射效应越来越明显,使得光学图像中原本光强应当为零的部分也具有一定的光强。由此,导致光酸的潜像的对比度进一步降低。
现有技术中,通常采用限制光酸扩散长度的方式来提高潜像的对比度。但是,这种做法的缺陷在于使得去除反应或交联反应的效率降低。此外,现有技术中的方法也不能克服由于衍射效应而导致的潜像对比度降低。
发明内容
本发明的发明人发现上述现有技术中存在问题,并因此针对所述问题中的至少一个问题提出了一种新的技术方案。
本发明的一个目的是提供一种光致抗蚀剂。
本发明的另一个目的是提供一种使用该光致抗蚀剂进行光刻的方法。
根据本发明的第一方面,提供了一种光致抗蚀剂,包括:基质树脂;用于产生化学放大作用的第一组分,该第一组分能够在第一波段的光的照射下产生第一化学物质,所述第一化学物质能够与所述基质树脂发生反应以形成潜像;以及第二组分,该第二组分能够在第二波段的光的照射下产生第二化学物质,所述第二化学物质能够与所述第一化学物质发生反应,从而降低第一化学物质在光致抗蚀剂中的质量浓度。
优选地,所述第一组分是光致产酸剂,并且所述第一化学物质是光酸;以及所述第二组分是光致产碱剂,并且所述第二化学物质是光碱。例如,所述光致产酸剂可以为(4-叔丁基苯基)二苯基锍三氟甲基磺酸酯或三苯基硫三氟甲烷磺酸盐等,所述光致产碱剂可以为季铵盐等。
进一步地,所述光致产酸剂的质量浓度可以为例如1%至30%,所述光致产碱剂的质量浓度可以为例如0.1%至20%。
进一步地,所述基质树脂为聚羟基苯乙烯或聚丙烯酸酯等。
根据本发明的第二方面,提供了一种使用本发明的光致抗蚀剂进行光刻的方法,包括以下步骤:提供表面覆盖有所述光致抗蚀剂的衬底;使用第一波段的光对所述光致抗蚀剂表面的选定区域进行选择性照射;使用第二波段的光对所述光致抗蚀剂表面的所有区域进行均匀照射;对所述光致抗蚀剂进行显影处理,从而形成所需要的光致抗蚀剂图案。
优选地,第一波段的光的照射剂量为0.1-100毫焦耳/平方厘米。
优选地,第二波段的光的照射剂量为0.1-100毫焦耳/平方厘米。
优选地,基本上同时进行第一波段的光的照射步骤和第二波段的光的照射步骤。
本发明的一个优点在于,利用光碱来中和部分光酸,从而提高了潜像的对比度。
通过以下参照附图对本发明的示例性实施例的详细描述,本发明的其它特征及其优点将会变得清楚。
附图说明
构成说明书的一部分的附图描述了本发明的实施例,并且连同说明书一起用于解释本发明的原理。
参照附图,根据下面的详细描述,可以更加清楚地理解本发明,其中:
图1是示出使用本发明的一个实施例的光致抗蚀剂进行光刻的方法的流程图。
图2是示出根据本发明的实施例的使用第一波段的光对光致抗蚀剂进行曝光的示意图。
图3示出根据本发明的实施例的在光致抗蚀剂中产生的光酸的质量浓度的分布曲线。
图4是示出根据本发明的实施例的在光致抗蚀剂中产生的光酸的质量浓度分布的示意图。
图5是示出根据本发明的实施例的使用第二波段的光对光致抗蚀剂进行照射的示意图。
图6是示出根据本发明的实施例的在光致抗蚀剂中产生的光碱的质量浓度分布的示意图。
图7示出根据本发明的实施例的经过光酸和光碱的中和反应之后,光致抗蚀剂中的光酸的质量浓度分布曲线。
图8是示出根据本发明的实施例的经过光酸和光碱的中和反应之后,光致抗蚀剂中的光酸的质量浓度分布的示意图。
图9是示出根据本发明的实施例的对光致抗蚀剂进行显影处理的示意图。
图10是示出根据本发明的实施例的光刻方法得到的光致抗蚀剂图案的示意图。
具体实施方式
现在将参照附图来详细描述本发明的各种示例性实施例。应注意到:除非另外具体说明,否则在这些实施例中阐述的部件和步骤的相对布置、数字表达式和数值不限制本发明的范围。
同时,应当明白,为了便于描述,附图中所示出的各个部分的尺寸并不是按照实际的比例关系绘制的。
以下对至少一个示例性实施例的描述实际上仅仅是说明性的,决不作为对本发明及其应用或使用的任何限制。
对于相关领域普通技术人员已知的技术、方法和设备可能不作详细讨论,但在适当情况下,所述技术、方法和设备应当被视为授权说明书的一部分。
在这里示出和讨论的所有示例中,任何具体值应被解释为仅仅是示例性的,而不是作为限制。因此,示例性实施例的其它示例可以具有不同的值。
应注意到:相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义,则在随后的附图中不需要对其进行进一步讨论。
本发明提供的光致抗蚀剂包括:基质树脂;用于产生化学放大作用的第一组分,该第一组分能够在第一波段的光的照射下产生第一化学物质,所述第一化学物质能够与所述基质树脂发生反应以形成潜像;以及第二组分,该第二组分能够在第二波段的光的照射下产生第二化学物质,所述第二化学物质能够与所述第一化学物质发生反应,从而降低第一化学物质在光致抗蚀剂中的质量浓度。
在本发明的一个实施例中,提供了一种光致抗蚀剂,该光致抗蚀剂包括光致产酸剂(photoacid generator,PAG)、光致产碱剂(photobase generator,PBG)、基质树脂和有机溶剂。对于基质树脂,可以选用例如聚羟基苯乙烯(Polyhydroxystyrene),聚丙烯酸酯(Polyacrylates)等。对于有机溶剂,可以采用本领域常用的各种溶剂,本文就不再赘述。在该示例性光致抗蚀剂中,光致产酸剂对第一波段的光敏感,当采用第一波段的光照射光致抗蚀剂时,将使得光致产酸剂吸收能量发生光分解,产生光酸(photo-acid)。光致抗蚀剂中的树脂在光酸的作用下,将发生例如去除反应,从而使得曝光区域的树脂发生保护基团的去除。光致产酸剂可以采用例如(4-叔丁基苯基)二苯基锍三氟甲基磺酸酯、三苯基硫三氟甲烷磺酸盐,等等。这些光致产酸剂在光致抗蚀剂中的质量浓度一般可以在1%至30%之间进行选择。
上述光致抗蚀剂中的光致产碱剂对于第二波段的光敏感。当光致产碱剂受到第二波段的光照射时,光致产碱剂吸收能量发生光分解,产生光碱(photo-base)。并且,第二波段与第一波段基本上不同。这种光致产碱剂可以为例如各种季铵盐(Quaternary ammoniumsalts)。根据光致产酸剂的质量浓度的不同,光致产碱剂的质量浓度可以在0.1%至20%之间进行选择。
下面结合图1-图10进一步描述如何使用上述实施例中的光致抗蚀剂进行光刻。
如图1所示,利用上述实施例中的光致抗蚀剂进行光刻的方法包括以下几个步骤:
(1)提供表面覆盖有所述光致抗蚀剂的衬底(步骤101)。例如,根据实际需要,在衬底204表面上均匀地涂覆一层光致抗蚀剂203。
(2)使用第一波段的光对所述光致抗蚀剂表面的选定区域进行选择性照射(步骤102)。
如图2所示,从光源发出的第一波段的光通过掩模201之后,形成光学图案,通过曝光光学器件202把该光学图案成像到光致抗蚀剂203表面。
光致抗蚀剂中的光致产酸剂因为吸收第一波段的光而发生分解,从而在光致抗蚀剂中产生光酸。本领域人员应当理解,所产生的光酸的质量浓度与第一波段的光的照射剂量以及光致产酸剂质量浓度等参数相关。在本实施例中,第一波段的光的照射剂量为例如0.1-100毫焦耳/平方厘米。通过这种方式,光学图像被转换为光酸的潜像。
理想情况下,希望光酸的潜像的对比度越高越好,这样,将来通过显影形成的光致抗蚀剂图案的边缘粗糙度会比较小。
但是,由于光酸的扩散以及掩模201的光学衍射,会降低潜像的对比度。
图3示出了在光致抗蚀剂中产生的光酸的质量浓度分布曲线。如图3所示,光酸的质量浓度在任何位置都大于零。这里,本领域技术人员应当理解,对于任何位置的所述光酸的质量浓度,是指在该位置处的光致抗蚀剂的微元中产生的光酸质量与该光致抗蚀剂微元的质量的比值。
图4进一步图示了光酸在光致抗蚀剂中的分布情况。如图4所示,黑色区域206表示光酸质量浓度较低的地方,而白色区域205表示光酸质量浓度较高的地方。与图3的光酸的质量浓度分布曲线相对应地,随着光酸质量浓度从最大值逐渐减小到最小值,光致抗蚀剂203中的白色区域205逐渐过渡到黑色区域206。由于上面描述的光酸的扩散和掩模衍射的影响,黑色区域206和白色区域205之间的过渡区域比较模糊,即光酸的潜像的对比度比较低。
(3)使用第二波段的光对所述光致抗蚀剂表面的所有区域进行均匀照射(步骤S103)。
如图5所示,第二波段的光均匀的照射到光致抗蚀剂表面。由于光致抗蚀剂203中的光致产碱剂对第二波段的光敏感,所以将在光致抗蚀剂203中产生质量浓度均匀的光碱,如图6所示。通过控制例如第二波段的光的照射剂量以及光致产碱剂的质量浓度等参数,可以控制光碱的质量浓度。在本实施例中,第二波段的光的照射剂量为例如0.1-100毫焦耳/平方厘米。此外,例如当光碱质量浓度小于光酸质量浓度的最小值时,光致抗蚀剂中的光碱将中和一部分光酸,使得光酸的质量浓度整体降低。如图7所示,经过中和反应后,光酸的质量浓度的最小值已经接近零。
图8进一步示出了中和反应后的光酸潜像。黑色区域206变得更黑,这表明黑色区域206中的光酸已经基本上都被中和反应去除。因此,光酸的潜像的对比度得以提高。
此外,使用第一波段的光进行照射的步骤和使用第二波段的光进行照射的步骤可以间隔适当的时间。在本发明的提示下,本领域技术人员能够合理地选择该时间间隔。在本实施例中,一种更优选的方案是这两个步骤同时进行,即使用第一波段和第二波段的光同时照射光致抗蚀剂,这种处理方式的优点是保证光刻处理能够高速进行,提高光刻效率。
(4)对所述光致抗蚀剂进行显影处理,从而形成所需要的光致抗蚀剂图案(步骤S104)。
如图9所示,使用显影剂207对光致抗蚀剂203进行显影处理。对于本实施例中的正性光致抗蚀剂203,白色区域(产生光酸的区域)被去除,而黑色区域(没有光酸的区域)被保留下来,从而形成图10所示的光致抗蚀剂图案208。
上述实施例中,由于光致产酸剂和光致产碱剂的敏感波段不同,所以能够在曝光过程中分别用不同波段的光照射光致抗蚀剂,这样,能够单独地控制和调节光致抗蚀剂中的光酸及光碱的质量浓度。然后利用光碱与光酸的中和反应,使得光酸的潜像的对比度得到了提高,从而最终形成的光致抗蚀剂图案的边缘粗糙度得到了改善。本发明的这种方法不仅能够克服由于光酸扩散对光酸潜像对比度的不利影响,而且能够进一步克服由于掩模的衍射导致的光酸潜像的对比度的下降。
当然,上面示例的光致抗蚀剂为正性光致抗蚀剂。本领域人员应当理解,可以采用同样的方式来获得负性光致抗蚀剂。
至此,已经详细描述了根据本发明的光致抗蚀剂和使用该光致抗蚀剂进行光刻的方法。为了避免遮蔽本发明的构思,没有描述本领域所公知的一些细节。本领域技术人员根据上面的描述,完全可以明白如何实施这里公开的技术方案。
虽然已经通过示例对本发明的一些特定实施例进行了详细说明,但是本领域的技术人员应该理解,以上示例仅是为了进行说明,而不是为了限制本发明的范围。本领域的技术人员应该理解,可在不脱离本发明的范围和精神的情况下,对以上实施例进行修改。本发明的范围由所附权利要求来限定。

Claims (11)

1.一种光致抗蚀剂,包括:
基质树脂;
用于产生化学放大作用的第一组分,该第一组分能够在第一波段的光的照射下产生第一化学物质,所述第一化学物质能够与所述基质树脂发生反应以形成潜像;以及
第二组分,该第二组分能够在第二波段的光的照射下产生第二化学物质,所述第二化学物质能够与所述第一化学物质发生反应,从而降低第一化学物质在光致抗蚀剂中的质量浓度。
2.根据权利要求1所述的光致抗蚀剂,其中,所述第一组分是光致产酸剂,并且所述第一化学物质是光酸;以及所述第二组分是光致产碱剂,并且所述第二化学物质是光碱。
3.根据权利要求2所述的光致抗蚀剂,其特征在于,所述光致产酸剂为(4-叔丁基苯基)二苯基锍三氟甲基磺酸酯或三苯基硫三氟甲烷磺酸盐。
4.根据权利要求2所述的光致抗蚀剂,其特征在于,所述光致产碱剂为季铵盐。
5.根据权利要求2所述的光致抗蚀剂,其特征在于,所述光致产酸剂的质量浓度为1%至30%。
6.根据权利要求2所述的光致抗蚀剂,其特征在于,所述光致产碱剂的质量浓度为0.1%至20%。
7.根据权利要求2所述的光致抗蚀剂,其特征在于,所述基质树脂为聚羟基苯乙烯或聚丙烯酸酯。
8.一种使用权利要求1-7中任一项所述的光致抗蚀剂进行光刻的方法,包括以下步骤:
提供表面覆盖有所述光致抗蚀剂的衬底;
使用第一波段的光对所述光致抗蚀剂表面的选定区域进行选择性照射;
使用第二波段的光对所述光致抗蚀剂表面的所有区域进行均匀照射;
对所述光致抗蚀剂进行显影处理,从而形成所需要的光致抗蚀剂图案。
9.根据权利要求8所述的方法,其特征在于,第一波段的光的照射剂量为0.1-100毫焦耳/平方厘米。
10.根据权利要求8所述的方法,其特征在于,第二波段的光的照射剂量为0.1-100毫焦耳/平方厘米。
11.根据权利要求8所述的方法,其特征在于,基本上同时进行第一波段的光的照射步骤和第二波段的光的照射步骤。
CN201110295647.8A 2011-09-29 2011-09-29 光致抗蚀剂和光刻方法 Active CN103034059B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201110295647.8A CN103034059B (zh) 2011-09-29 2011-09-29 光致抗蚀剂和光刻方法
US13/405,235 US20130084526A1 (en) 2011-09-29 2012-02-25 Photo-resist and method of photolithography

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201110295647.8A CN103034059B (zh) 2011-09-29 2011-09-29 光致抗蚀剂和光刻方法

Publications (2)

Publication Number Publication Date
CN103034059A true CN103034059A (zh) 2013-04-10
CN103034059B CN103034059B (zh) 2015-02-04

Family

ID=47992886

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110295647.8A Active CN103034059B (zh) 2011-09-29 2011-09-29 光致抗蚀剂和光刻方法

Country Status (2)

Country Link
US (1) US20130084526A1 (zh)
CN (1) CN103034059B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9746774B2 (en) * 2014-02-24 2017-08-29 Tokyo Electron Limited Mitigation of EUV shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist (PS-CAR)
KR101846109B1 (ko) * 2014-02-24 2018-04-05 도쿄엘렉트론가부시키가이샤 감광성 화학적 증폭 레지스트 내에서의 감광제 농도의 측정을 위한 계측
EP4062234A4 (en) * 2019-11-19 2023-12-20 Applied Materials, Inc. LITHOGRAPHY APPARATUS, PATTERNING SYSTEM AND METHOD FOR FORMING PATTERNS ON A LAYERED STRUCTURE

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010003034A1 (en) * 1999-02-22 2001-06-07 Toshiharu Furukawa Fabrication of a high density long channel dram gate with or without a grooved gate
US20020160318A1 (en) * 2001-04-27 2002-10-31 Richter Ernst-Christian Method for structuring a photoresist layer
CN1773313A (zh) * 2003-11-25 2006-05-17 罗姆和哈斯电子材料有限责任公司 波导组合物及由其形成的波导
CN101258446A (zh) * 2005-09-06 2008-09-03 Nxp股份有限公司 光刻技术方法
CN101405655A (zh) * 2006-03-16 2009-04-08 旭硝子株式会社 负型感光性含氟芳香族类树脂组合物

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2654339B2 (ja) * 1992-11-24 1997-09-17 インターナショナル・ビジネス・マシーンズ・コーポレイション 感光性レジスト組成物及び基板上にレジスト像を形成する方法
JP3433017B2 (ja) * 1995-08-31 2003-08-04 株式会社東芝 感光性組成物
US6245492B1 (en) * 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
DE10120674B4 (de) * 2001-04-27 2005-06-16 Infineon Technologies Ag Verfahren zur Strukturierung einer Photolackschicht
JP3986911B2 (ja) * 2002-07-15 2007-10-03 松下電器産業株式会社 パターン形成材料及びパターン形成方法
US8791288B2 (en) * 2009-05-26 2014-07-29 Shin-Etsu Chemical Co., Ltd. Acid-labile ester monomer having spirocyclic structure, polymer, resist composition, and patterning process
CN103034048B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010003034A1 (en) * 1999-02-22 2001-06-07 Toshiharu Furukawa Fabrication of a high density long channel dram gate with or without a grooved gate
US20020160318A1 (en) * 2001-04-27 2002-10-31 Richter Ernst-Christian Method for structuring a photoresist layer
CN1773313A (zh) * 2003-11-25 2006-05-17 罗姆和哈斯电子材料有限责任公司 波导组合物及由其形成的波导
CN101258446A (zh) * 2005-09-06 2008-09-03 Nxp股份有限公司 光刻技术方法
CN101405655A (zh) * 2006-03-16 2009-04-08 旭硝子株式会社 负型感光性含氟芳香族类树脂组合物

Also Published As

Publication number Publication date
CN103034059B (zh) 2015-02-04
US20130084526A1 (en) 2013-04-04

Similar Documents

Publication Publication Date Title
CN103034048B (zh) 光刻方法
KR100913005B1 (ko) 마스크 패턴 형성 방법
US7527918B2 (en) Pattern forming method and method for manufacturing a semiconductor device
US6337175B1 (en) Method for forming resist pattern
KR100555497B1 (ko) 미세 패턴 형성 방법
US11460776B2 (en) Method and apparatus of patterning a semiconductor device
JPH05127369A (ja) レジスト材料
CN103034063B (zh) 光刻设备
WO2009087712A1 (ja) パターン形成方法
CN103034059B (zh) 光致抗蚀剂和光刻方法
KR100515369B1 (ko) 전기장에 의하여 반도체 소자의 미세패턴을 형성하는노광장치 및 그 방법
US7229723B2 (en) Method for forming an opening in a light-absorbing layer on a mask
US11994804B2 (en) Lithography apparatus, patterning system, and method of patterning a layered structure
KR19990003857A (ko) 감광막 형성 방법
KR20140096750A (ko) 노광 방법 및 이를 이용한 패턴 형성 방법
JPH07106235A (ja) パターン形成方法
WO2023108998A1 (zh) 光刻胶层的处理方法及光刻胶层
WO2023108999A1 (zh) 光刻胶层的处理方法及光刻胶层
KR100274751B1 (ko) 화학증폭형감광막패턴방법
JPH11153867A (ja) レジストパターン形成方法
KR100919344B1 (ko) 반도체 소자의 미세패턴 형성방법
KR20010058558A (ko) 노광면적 차이에 따른 산기의 확산길이 차이를 감소시킬수 있는 포토레지스트 패턴 형성 방법
KR20100101838A (ko) 반도체소자의 레지스트 패턴 형성 방법
JPH07123104B2 (ja) パターン形成方法
JPS5848919A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant