CN102737987A - 等离子体氮化处理方法和装置、及半导体装置的制造方法 - Google Patents

等离子体氮化处理方法和装置、及半导体装置的制造方法 Download PDF

Info

Publication number
CN102737987A
CN102737987A CN2012100890625A CN201210089062A CN102737987A CN 102737987 A CN102737987 A CN 102737987A CN 2012100890625 A CN2012100890625 A CN 2012100890625A CN 201210089062 A CN201210089062 A CN 201210089062A CN 102737987 A CN102737987 A CN 102737987A
Authority
CN
China
Prior art keywords
container handling
plasma
tungsten
nitride film
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2012100890625A
Other languages
English (en)
Inventor
壁义郎
佐藤吉宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102737987A publication Critical patent/CN102737987A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明涉及选择性地形成氮化物膜的等离子体氮化处理方法、等离子体氮化处理装置以及半导体装置的制造方法。向处理容器(2)内供给含氮气体,将处理容器(2)内的压力设定在133Pa~1333Pa的范围内,在处理容器(2)内生成含氮等离子体,通过该含氮等离子体不使含硅的第2部分(100B)的表面(100Ba)氮化而选择性地氮化含有钨的第1部分(100A)的表面(100Aa),在第1部分(100A)的表面(100Aa)形成氮化钨膜(107)。

Description

等离子体氮化处理方法和装置、及半导体装置的制造方法
技术领域
本发明涉及在结构体的表面形成氮化物膜的等离子体氮化处理方法、等离子体氮化处理装置以及半导体装置的制造方法。
背景技术
在DRAM等半导体装置的制造过程中,在硅基板上形成栅极绝缘膜,在栅极绝缘膜上形成栅电极,以覆盖栅电极的方式在栅极绝缘膜和栅电极的周围形成绝缘层。在栅电极的电极部分使用例如含有多晶硅和钨的层叠体。栅极绝缘层具有规定的阈值电压。硅基板和栅电极的电极之间的电子的移动通过栅极绝缘层进行。具体而言,上述的电子的移动通过在硅基板和栅电极的电极之间施加栅极绝缘层的阈值电压以上的电压来进行。栅极绝缘层例如可由氮氧化硅(SiON)形成。以覆盖栅电极的周围的方式形成的绝缘层,例如可由硅氧化物(SiO2)形成。该绝缘层例如可利用化学气相沉积法(CVD法)形成。
另外,栅电极的形成,例如通过在硅基板上形成与上述层叠体和栅极绝缘层对应的层叠膜之后,蚀刻该层叠膜来进行。此时,例如出于修复由蚀刻引起的栅极绝缘层的损伤或缺陷的目的,有时在形成栅电极之后,利用热氧化法等实施氧化处理。另外,在栅电极的周围形成绝缘层之后,出于各种目的有时对整体实施退火处理。
进行上述氧化处理时,由于栅电极的表面暴露于氧化环境,所以构成栅电极的电极部分的钨的表面被氧化。另外,利用CVD法在栅电极的周围形成由硅氧化物构成的绝缘层时,钨的表面被CVD法中使用的氧气氧化。另外,在形成该绝缘层后进行退火处理时,因硅氧化物所含的氧,钨的氧化继续进行。如此地钨被氧化时,例如成为氧化钨(WOX)从钨的表面飞散,在氧化钨和绝缘层的界面形成空穴等空间,结果,作为栅电极的电压特性发生变化,可能无法得到所希望的电特性。
因此,考虑在进行上述氧化处理等之前,要在钨的表面形成抗氧化膜。作为抗氧化膜,例如考虑使用氮化物膜,具体而言使用氮化钨膜。
专利文献1中记载了使用含有氮的气体进行等离子体处理,将由多晶硅膜、氮化钨膜以及钨膜构成的栅电极的侧壁进行氮化,从而形成氮化物膜的技术。另外,专利文献2中记载了在含有氮气的气体中对在硅基板上形成的钨膜的整体或其表面层进行处理使其氮化的技术。另外,专利文献3中记载了通过热氮化或等离子体氮化等氮化处理,以氮化钨覆盖作为薄膜晶体管(TFT)的配线材料使用的钨膜的表面的技术。另外,专利文献4中记载了利用快速热退火(RTA)法,对由钛·钨层构成的半导体集成电路的局部相互连接体进行氮化的技术。另外,专利文献5中记载了利用CVD法,形成氮化钨薄膜作为铜配线的阻挡膜的技术。
专利文献1:日本特开2004-200550号公报
专利文献2:日本特开平1-189138号公报
专利文献3:日本特开2000-332259号公报
专利文献4:日本特开平5-243178号公报
专利文献5:日本特开2000-235963号公报
发明内容
在专利文献1~5中记载的技术中,形成氮化物膜时,包含硅基板和栅电极的结构体的表面整体被暴露于氮化环境。因此,氮化物膜不仅在构成栅电极的电极部分的一部分的钨表面形成,而且在构成栅电极的电极部分的其他部分的多晶硅、栅极绝缘层、硅基板等含硅的部分的表面也形成。一般而言,氮化物膜容易在其膜中形成缺陷。当由于氮化物膜以沿着硅基板和电极部分(多晶硅和钨)的表面的方式连续地形成,从而在氮化物膜中形成缺陷时,有可能因氮化物膜中的缺陷而在硅基板和钨之间发生漏电。另外,栅极绝缘层由氮氧化硅构成时,硅氮化物膜将被进一步氮化,氮氧化硅的氮浓度发生变化,栅极绝缘层的阈值电压可能会发生变动。另外,有时将需要用于除去在硅基板的表面形成的氮化物膜,具体而言为硅氮化物膜(SiN)的工序。
本发明鉴于上述问题点而完成,其目的在于提供一种等离子体氮化处理方法、等离子体氮化处理装置以及半导体装置的制造方法,其能够在具备包括含金属的第1部分和含硅的第2部分结构体的被处理体上形成仅覆盖第1部分的表面的氮化物膜。
本发明的等离子体氮化处理方法是将具备结构体的被处理体配置在处理容器内,对被处理体实施等离子体处理,其中,所述结构体包括含金属的第1部分和含硅的第2部分且以使第1和第2部分的表面露出的方式形成,由此选择性地氮化第1部分的表面,在第1部分的表面选择性地形成金属氮化物膜的等离子体氮化处理方法。第1部分含有钨。
本发明的等离子体氮化处理方法是向处理容器内供给含氮气体,将处理容器内的压力设定在133Pa~1333Pa的范围内,在处理容器内生成含氮等离子体,通过该含氮等离子体,不使第2部分的表面氮化而选择性地氮化第1部分的表面,在第1部分的表面形成氮化钨膜。
在本发明的等离子体氮化处理方法中,第2部分的表面几乎不形成氮化物膜。应予说明,在本发明中,“几乎不形成氮化物膜”情况包括“完全不形成氮化物膜”的情况和“形成少量氮化物膜、但其几乎没有影响”的情况。
在本发明的等离子体氮化处理方法中,可以将处理容器内的压力设定在267Pa~1333Pa的范围内。
另外,在本发明的等离子体氮化处理方法中,第2部分包括由硅构成的硅基板,第1部分可以配置在硅基板的上表面的一部分上。
另外,在本发明的等离子体氮化处理方法中,结构体可以具备由硅构成的硅基板、和配置在硅基板的上表面的一部分上的层叠体。另外,层叠体可以具有以下各层:由氮氧化硅构成的绝缘层、层叠在绝缘层上的由多晶硅构成的第1电极层、层叠在第1电极层上的由氮化钨构成的阻挡层、以及层叠在阻挡层上的由钨构成的第2电极层。此时,第1部分包括阻挡层和第2电极层,第2部分包括硅基板、绝缘层以及第1电极层。
另外,在本发明的等离子体氮化处理方法中,含氮等离子体可以是微波激发等离子体,该微波激发等离子体是利用从具有多个隙缝的平面天线导入到处理容器内的微波将供给到处理容器内的含氮气体等离子体化而得的。
本发明的等离子体氮化处理装置对具备结构体的被处理体实施等离子体处理,其中,所述结构体包括含金属的第1部分和含硅的第2部分且以使第1和第2部分的表面露出的方式形成,由此选择性地氮化第1部分的表面,在第1部分的表面选择性地形成金属氮化物膜。第1部分含有钨。
本发明的等离子体氮化处理装置,具备:
送入被处理体进行规定处理的处理容器;
向处理容器内供给作为处理气体的含氮气体的气体供给机构;
对处理容器内进行减压排气的排气装置;
在处理容器内生成等离子体的等离子体生成机构;以及
控制部,所述控制部按以下方式进行控制:通过气体供给机构向处理容器内供给含氮气体,通过排气装置将处理容器内的压力设定在133Pa~1333Pa的范围内,通过等离子体生成机构在处理容器内生成含氮等离子体,利用该含氮等离子体,不使第2部分的表面氮化而选择性地氮化第1部分的表面,在第1部分的表面形成氮化钨膜。
本发明的半导体装置的制造方法是制造具备结构体的半导体装置的方法,所述结构体包括含金属的第1部分和含硅的第2部分。第1部分含有钨。
本发明的半导体装置的制造方法具备以下工序:
在半导体基板上形成以后成为第1和第2部分的至少一部分的初期层叠膜的工序;
蚀刻初期层叠膜,以使第1和第2部分的表面露出的方式形成结构体的工序;
将形成有上述结构体的半导体基板运送到处理容器内的工序;
向处理容器内供给含氮气体的工序;
将处理容器内的压力设定在133Pa~1333Pa的范围内的工序;
在处理容器内生成含氮等离子体的工序;和
通过含氮等离子体,不使第2部分的表面氮化而选择性地氮化第1部分的表面,在第1部分的表面形成氮化钨膜的等离子体氮化处理工序。
本发明的半导体装置的制造方法可以在等离子体氮化处理工序后进一步具备以覆盖结构体的方式形成由硅氧化物构成的绝缘层的工序。
在本发明的等离子体氮化处理方法、等离子体氮化处理方法以及半导体装置的制造方法中,不使含硅的第2部分的表面氮化而选择性地氮化作为金属含有钨的第1部分的表面,在第1部分的表面形成氮化钨膜。如上所述,在第2部分的表面几乎不形成氮化物膜。由此,根据本发明,能够形成仅覆盖第1部分的表面的氮化物膜。
附图说明
图1是表示本发明的一个实施方式的等离子体氮化处理方法的流程图。
图2是表示通过本发明的一个实施方式的等离子体氮化处理方法形成氮化钨膜之前的结构体的截面图。
图3是表示通过本发明的一个实施方式的等离子体氮化处理方法形成氮化钨膜后的结构体的截面图。
图4是表示本发明的一个实施方式的等离子体氮化处理装置的简要构成的截面图。
图5是表示图4所示的等离子体氮化处理装置中的平面天线的俯视图。
图6是表示图4所示的等离子体氮化处理装置中的控制部的说明图。
图7是表示本发明的一个实施方式的半导体装置的制造方法的流程图。
图8是表示处理容器内的压力和氮剂量的关系的特征图。
图9是表示处理容器内的压力和氮剂量比的关系的特征图。
图10是表示第1比较例中的结构体的截面图。
图11是表示第2比较例中的结构体的截面图。
符号说明
1...等离子体氮化处理装置、2...处理容器、3...排气室、4...气体供给部、5...排气装置、6...微导波入装置、7...控制部、13...排气管、15...气体导入部、21...载置台、24...加热器、25...加热器电源、26...热电偶、61...透过板、62...平面天线、62a...微波放射孔、63...滞波件、64...盖部件、65...导波管、66...模式变换器、67...匹配电路、68...微波生成装置、71...工艺控制器、72...用户界面、73...存储部、100...结构体、100A...第1部分、100B...第2部分、100Aa...第1表面部、100Ba...第2表面部、101...硅基板、102...层叠体、103...栅极绝缘层、104...第1电极层、105...阻挡层、106...第2电极层、107...氮化钨膜、108...绝缘层、W...晶片。
具体实施方式
以下,参照附图对本发明的一个实施方式进行详细说明。首先,参照图1,对本发明的一个实施方式的等离子体氮化处理方法进行说明。图1是表示本发明的一个实施方式的等离子体氮化处理方法的流程图。
本实施方式的等离子体氮化处理方法是,将具备结构体100的被处理体配置在处理容器内,对被处理体实施等离子体处理,其中,所述结构体包括含金属的第1部分100A和含硅的第2部分100B且以使第1部分100A的表面(以下,称为第1表面部)100Aa和第2部分100B的表面(以下,称为第2表面部)100Ba露出的方式形成,由此选择性地氮化第1的表面部100Aa,在第1的表面部100Aa选择性地形成金属氮化物膜的等离子体氮化处理方法。
第1部分100A作为含金属的层可以含有例如由钨等金属构成的层、由氮化钨等金属氮化物构成的层。第2部分100B作为含硅的层可以含有例如由硅构成的层、由硅氧化物(SiO2)构成的层、由氮氧化硅(SiON)构成的层。
如图1所示,本实施方式的等离子体氮化处理方法包括:第1步骤S1、第2步骤S2、第3步骤S3以及第4步骤S4。在第1步骤S1中,向送入有处理体的处理容器内供给含氮气体。作为含氮气体,例如,可使用氮气(N2)、氨气(NH3)、NO、N2O等。
在第2步骤S2中,将处理容器内的压力设定为规定的压力。处理容器内的压力优选为133Pa~1333Pa的范围内,更优选为267Pa~1333Pa的范围内。其理由在后面详细说明。
在第3步骤S3中,在处理容器内生成含氮等离子体。具体而言,在处理容器内放射微波,从而在处理容器内形成电磁场,使含氮气体等离子体化。应予说明,含氮等离子体优选微波激发等离子体,该微波激发等离子体是利用从具有多个隙缝的平面天线导入到处理容器内的微波,将供给到处理容器内的含氮气体等离子体化而得的。
在第4步骤S4中,通过含氮等离子体,不使第2表面部100Ba氮化而选择性地氮化第1表面部100Aa,在第1表面部100Aa形成氮化物膜(金属氮化物膜)。第1部分100A含有钨时,在第1表面部100Aa形成氮化钨膜。在第4步骤S4中,在第2表面部100Ba几乎不形成氮化物膜。这样,通过第1~第4步骤S1~S4,在第1表面部100Aa选择性地形成氮化物膜(氮化钨膜)。
接着,参照图1~图3,对本实施方式的等离子体氮化处理方法进行具体说明。图2是表示通过本发明的一个实施方式的等离子体氮化处理方法形成氮化钨膜之前的结构体100的截面图。图3是表示通过本发明的一个实施方式的等离子体氮化处理方法形成氮化钨膜后的结构体100的截面图。
在图2所示的例子中,结构体100具备由硅构成的硅基板101、和配置在硅基板101的上表面的一部分上的2个层叠体102。该结构体100,例如是半导体设备制造用的半导体晶片(以下,仅记为“晶片”)W的一部分。在该例中,层叠体102具有以下各层:由氮氧化硅(SiON)构成的栅极绝缘层103、层叠在栅极绝缘层103上的由多晶硅构成的第1电极层104、层叠在第1电极层104上的由氮化钨构成的阻挡层105、和层叠在阻挡层105上的由钨构成的第2电极层106。该层叠体102例如与DRAM的栅电极对应。栅电极的电极部分由第1电极层104、阻挡层105以及第2电极层106构成。层叠体102,例如,通过使用光刻、干式蚀刻等来蚀刻层叠膜而形成。
另外,结构体100包括含金属的第1部分100A和含硅的第2部分100B。在本实施方式中,第1部分100A作为金属含有钨。在图2所示的例子中,第1部分100A包括阻挡层105和第2电极层106。另外,第2部分100B包括硅基板101、栅极绝缘层103以及第1电极层104。
另外,在图2所示的例子中,作为第1部分100A的表面的第1表面部100Aa由含有钨的阻挡层105的表面105a和第2电极层106的表面106a构成。另外,作为第2部分100B的表面的第2表面部100Ba由含硅的硅基板101的表面101a、栅极绝缘层103的表面103a以及第1电极层104的表面104a构成。
如上所述,本实施方式的等离子体氮化处理方法是选择性地氮化第1表面部100Aa,在第1表面部100Aa形成金属氮化物膜的方法。第1部分100A含有钨时,在第1表面部100Aa形成氮化钨膜。在本实施方式中,在处理容器内配置形成有结构体100的晶片W,通过上述第1~第4步骤S1~S4,对晶片W进行等离子体氮化处理。在第4步骤S4中,通过通过含氮等离子体的等离子体氮化处理,在由含硅的层的表面构成的第2表面部100Ba几乎不形成氮化物膜,而在由含有钨的层的表面构成的第1表面部100Aa选择性地形成氮化钨膜107。该氮化钨膜107通过第1部分100A(阻挡层105和第2电极层106)的表面的钨被氮化而形成。应予说明,后面将对显示在第2表面部100Ba几乎不形成氮化物膜的实验结果进行详细说明。
图3表示在硅基板101上形成由栅极绝缘层103、第1电极层104、阻挡层105以及第2电极层106构成的层叠体102,在作为第1部分100A(阻挡层105和第2电极层106)的表面的第1表面部100Aa选择性地形成氮化钨膜107,以覆盖层叠体102的方式例如利用化学气相沉积法(CVD法)在层叠体102的周围形成由硅氧化物(SiO2)构成的绝缘层108的例子。
接着,参照图4对本实施方式的等离子体氮化处理装置进行说明。图4是表示本发明的一个实施方式的等离子体氮化处理装置的简要构成的截面图。本实施方式的等离子体氮化处理装置1包含具有多个隙缝状的孔的平面天线,尤其是径向线隙缝天线(Radial Line Slot Antenna;RLSA)。包含RLSA的等离子体处理装置也称为RLSA微波等离子体处理装置。在RLSA微波等离子体处理装置中,通过RLSA,将微波直接导入到处理容器内,从而能够生成高密度且低电子温度的微波激发等离子体。
等离子体氮化处理装置1具备以下部件:收容作为被处理体的晶片W的处理容器2、配置在处理容器2的内部且载置晶片W的载置台21、与处理容器2连接的排气室3、向处理容器2内供给气体的气体供给部4、对处理容器2内进行减压排气的排气装置5、向处理容器2内导入微波生成等离子体的微导波入装置6、以及控制这些等离子体氮化处理装置1的各构成部的控制部7。应予说明,作为向处理容器2内供给气体的装置,可以使用不包含在等离子体氮化处理装置1的构成的外部气体供给部来代替气体供给部4。
处理容器2具有在上部开口的筒状的形状,例如为近似圆筒形状或近似有角筒形状。处理容器2例如由铝等金属材料形成。应予说明,处理容器2接地。微导波入装置6设置在处理容器2的上部,作为向处理容器2内导入电磁波(微波)生成等离子体的等离子体生成机构而起作用。微导波入装置6的结构在后面进行详细说明。
处理容器2具有板状的底部11和与底部11连接的侧壁部12。侧壁部12具有送入送出口12a,该送入送出口12a用于在与处理容器2邻接的未图示的运送室之间进行晶片W的送入送出。在处理容器2和未图示的运送室之间配置有栅极阀G。栅极阀G具有开闭送入送出口12a的功能。栅极阀G能够以关闭状态将处理容器2气密地密封,同时能够以打开状态在处理容器2和未图示的运送室之间进行晶片W的移送。
底部11具有在其中央部分形成的开口部11a。排气室3具有与开口部11a连通的内部空间3a,以从底部11向下方突出的方式与底部11连接。
等离子体氮化处理装置1进一步具备与排气室3的内部空间3a连通的排气管13。排气管13与排气装置5连接。排气装置5介由排气管13与排气室3连接。排气装置5具有高速真空泵,该高速真空泵可将处理容器2和排气室3的内部空间高速地减压至规定的真空度。作为这种高速真空泵,例如有涡轮分子泵等。对于处理容器2和排气室3,通过使排气装置5的高速真空泵工作,其内部空间被减压至规定的真空度。即,通过气体供给部4供给到处理容器2内的气体均匀地流向排气室3的内部空间3a。通过使排气装置5工作,介由排气管13向外部排出该气体。由此,处理容器2和排气室3的内部空间减压至规定的真空度。
等离子体氮化处理装置1在处理容器2内和排气室3内进一步具备支撑载置台21的支撑部件22。载置台21用于水平地载置作为被处理体的晶片W。载置台21例如由AlN、Al2O3等陶瓷形成。应予说明,载置台21优选由热传导性高的材料形成。作为这样的材料,例如有AlN。支撑部件22具有从排气室3的底部向处理容器2的内部空间延伸的圆筒状的形状。支撑部件22例如由AlN等陶瓷形成。
等离子体氮化处理装置1进一步具备保护载置台21的保护部件23。保护部件23具有环状的形状,且具有保护载置台21的上表面(晶片载置面)和侧面的一方或者双方的同时引导晶片W的功能。保护部件23阻挡载置台21和等离子体的接触,防止载置台21被溅射,由此防止在晶片W中混入杂质。保护部件23例如由石英、单晶硅、多晶硅、非晶硅、SiN等形成。特别是由石英构成的保护部件23作为上述保护部件的特性优异,因此优选。应予说明,作为形成保护部件23的材料,优选碱金属、其他金属等杂质含量少的高纯度材料。
等离子体氮化处理装置1进一步具备加热器24、加热器电源25、热电偶(图4中记为TC)26。加热器24和热电偶26的测温部分26a被埋设于载置台21。加热器24例如介由穿过支撑部件22内部的配线与设置在处理容器2和排气室3的外部的加热器电源25连接。加热器电源25向加热器24供给用于加热载置台21的电输出功率。加热器24通过加热载置台21从而对作为被处理体的晶片W进行均匀的加热。载置台21的温度利用热电偶26测量。由此,可将晶片W的温度控制在例如室温~900℃的范围内。
虽未图示,但载置台21具有相对于载置台21的上表面(晶片载置面)可突没地设置的多个支撑销。多个支撑销按以下方式构成:利用任意的升降机构上下地移位,在上升位置,可与未图示的运送室之间进行晶片W的交接。
等离子体氮化处理装置1进一步具备在处理容器2的内部空间中相比于载置台21的外圆周部配置于外侧的内衬27和挡板28、以及支撑挡板28的多个支柱29。内衬27具有在上部和下部开口的圆筒状的形状。挡板28是用于在处理容器2的内部空间中实现均匀排气的部件,具有环状的形状且具有多个排气孔28a。另外,挡板28与内衬27的下端部连接。内衬27和挡板28例如由石英形成。
等离子体氮化处理装置1进一步具备设置在处理容器2的侧壁部12的气体导入部15。气体导入部15与供给含氮气体和等离子体激发用气体的气体供给部4连接。应予说明,在图4中示出的例子中,气体导入部15具有环状的形状。但是,气体导入部15可以构成为喷嘴状,也可以构成为花洒状。
气体供给部4具有气体供给源(例如,非活性气体供给源41A和含氮气体供给源41B)、配管(例如,气体管路42a、42b、42c)、流量控制装置(例如,MFC(质量流量控制器)43A、43B)、以及阀(例如,开闭阀44A、44B)。应予说明,气体供给部4可以进一步具有在置换处理容器2内的环境时使用的吹扫气体供给源等。
非活性气体供给源41A和含氮气体供给源41B通过气体管路42a、42b、42c,与气体导入部15连接。即,非活性气体供给源41A与气体管路42a的一个端部连接。含氮气体供给源41B与气体管路42b的一个端部连接。气体管路42a、42B的各自的另一端部均与气体管路42c的一端部连接。气体管路42c的另一端部与气体导入部15连接。MFC 43A设置在非活性气体供给源41A和气体导入部15之间。MFC 43B设置在含氮气体供给源41B和气体导入部15之间。开闭阀44A设置在MFC43A的前后。开闭阀44B设置在MFC 43B的前后。
作为被供给到处理容器2内的非活性气体,例如,可使用Ar气体、Kr气体、Xe气体、He气体等稀有气体。在这些气体中,特别是从经济性优异的角度出发,优选使用Ar气体。另外,含氮气体是含有氮原子的气体,作为被供给到处理容器2内的含氮气体,例如,可使用氮气(N2)、氨气(NH3)、NO、N2O等。
非活性气体从非活性气体供给源41A经过气体管路42a、42c到达气体导入部15,然后从气体导入部15导入到处理容器2内。含氮气体从含氮气体供给源41B经过气体管路42b、42c到达气体导入部15,从气体导入部15导入到处理容器2内。被供给到处理容器2内的气体的种类、这些气体的流量等由MFC 43A、43B以及开闭阀44A、44B控制。
等离子体氮化处理装置1进一步具备与侧壁部12的上端部连接的环状的板16、以及气密地密封处理容器2(侧壁部12)与板16之间的密封部件17。板16具有以在处理容器2的内部空间突出的方式形成的支撑部16a。支撑部16a具有环状的形状。
在此,参照图4和图5对微导波入装置6的构成进行详细说明。图5是表示等离子体氮化处理装置1中的平面天线的俯视图。如上所述,微导波入装置6设置在处理容器2的上部,作为向处理容器2内导入电磁波(微波)生成等离子体的等离子体生成机构而起作用。微导波入装置6具有以下部件:使微波透过的透过板61、与载置台21对置地配置的平面天线62、缩短微波的波长来调整等离子体的滞波件63、覆盖平面天线62和滞波件63的盖部件64、将微波传播到平面天线62的导波管65、变换沿导波管65传播的微波的模式的模式变换器66、生成微波的微波生成装置68、设置在导波管65和微波生成装置68之间的匹配电路67。
透过板61配置在板16的支撑部16a上。透过板61由电介质材料形成。作为形成透过板61的电介质材料,例如,可使用石英、Al2O3、AlN等的陶瓷等。等离子体氮化处理装置1进一步具备气密地密封透过板61和支撑部16a之间的密封部件18。由此,维持处理容器2内的气密性。
平面天线62在透过板61的上方(透过板61中的处理容器2的相反侧),以与载置台21对置的方式进行配置。平面天线62具有圆板形状。应予说明,平面天线62并不限于圆板形状,例如可以具有方形板形状。另外,在图4所示的例子中,平面天线62具有比透过板61更大的平面形状(从上方俯视的形状)。在该例中,平面天线62的外圆周部卡止在板16的上端。平面天线62由导电性材料形成。作为形成平面天线62的导电性材料,例如,可以使用表面镀覆有金或银的铜板、铝板、镍板以及它们的合金等。
如图5所示,平面天线62具有放射微波的多个微波放射孔62a。微波放射孔62a具有规定的形状且以贯通平面天线62的方式形成。在图6所示的例子中,各个微波放射孔62a具有细长的长方形状(隙缝状)。而且,典型的是微波放射孔62a以与邻接的其他微波放射孔62a共同形成“T”字状的方式被配置。另外,如上所述,按规定的形状(例如,T字状)组合配置的多个微波放射孔62a的对,作为整体排列成同心圆形。
微波放射孔62a的长度、微波放射孔62a的对的排列间隔,根据微波的波长λg来决定。具体而言,例如,微波放射孔62a将以上述排列间隔成为λg/4~λg的范围内的方式进行配置。应予说明,在图5中,以整体排列成同心圆形的方式排列多个微波放射孔62a的对时的、半径方向的排列间隔以符号Ar表示。
应予说明,微波放射孔62a的形状不限于隙缝状的形状,可以是其他的形状,例如为圆形、圆弧等。另外,微波放射孔62a的配置的方式并不局限于同心圆状,也可以是其他形状,例如,是螺旋状、放射状等。
滞波件63配置在平面天线62的上表面上。另外,滞波件63由具有比真空大的介电常数的材料形成。作为形成滞波件63的材料,例如,可使用石英、聚四氟乙烯树脂、聚酰亚胺树脂等。在真空中微波的波长变长。滞波件63具有缩短微波的波长而调整等离子体的功能。
应予说明,平面天线62可以不与透过板61接触,但优选为与透过板61接触。另外,滞波件63可以不与平面天线62接触,但优选为与平面天线62接触。
盖部件64以覆盖平面天线62和滞波件63的方式与板16的上端部连接。等离子体氮化处理装置1进一步具有气密地密封盖部件64与板16之间的密封部件19。盖部件64,例如由铝或不锈钢等金属材料形成。虽未图示,但盖部件64接地。另外,盖部件64具有形成在其内部的冷却水流路64a和形成在盖部件64的顶棚部的中央部分的开口部64b。透过板61、平面天线62、滞波件63以及盖部件64通过使冷却水在冷却水流路64a内流动来进行冷却。
被盖部件64和平面天线62包围的空间形成扁平导波路。滞波件63配置在扁平导波路内。微波通过扁平导波路均匀地供给到处理容器2内。
导波管65包括与其延伸方向垂直的截面的外形为圆形的同轴导波管65A和与其延伸方向垂直的截面的外形为矩形的矩形导波管65B。同轴导波管65A沿图4中的上下方向延伸。矩形导波管65B沿图4中的左右方向(水平方向)延伸。同轴导波管65A的一个端部与盖部件64的开口部64b的上端部连接。同轴导波管65A的另一端部介由模式变换器66与矩形导波管65B的一个端部连接。模式变换器66具有将在矩形导波管65B内以TE模式传播的微波变换为TEM模式的功能。
同轴导波管65A具有在其内部空间延伸的内导体65A1。内导体65A1的下端部与平面天线62的中央部分连接。由此,微波介由内导体65A1高效均匀且放射状地传播于由盖部件64和平面天线62形成的扁平导波路。
微波生成装置68介由匹配电路67与矩形导波管65B的另一端部连接。在微波生成装置68中以规定频率(例如,2.45GHz)生成的微波介由导波管65传播到平面天线62,从微波放射孔62a介由透过板61导入到处理容器2内。应予说明,微波的频率并不局限于2.45GHz,也可以为8.35GHz、1.98GHz等。
接着,参照图6对控制部7进行说明。图6是表示图4所示的等离子体氮化处理装置1中的控制部7的说明图。等离子体氮化处理装置1的各构成部分别与控制部7连接,通过控制部7进行控制。控制部7典型的是计算机。在图6所示的例子中,控制部7具备:具有CPU的工艺控制器71、与该工艺控制器71连接的用户界面72以及存储部73。
工艺控制器71是在等离子体氮化处理装置1中,例如将温度、压力、气体流量、微波输出等与工艺条件有关的各构成部(例如,加热器电源25、气体供给部4、排气装置5、微波生成装置68等)统一进行控制的控制装置。用户界面72具有工序管理者为了管理等离子体氮化处理装置1而进行指令的输入操作等的键盘或触摸面板、使等离子体氮化处理装置1的运转状况可视化来显示的显示器等。
存储部73中保存有用于通过工艺控制器71的控制来实现等离子体氮化处理装置1中执行的各种处理的控制程序(软件)、记录了处理条件数据等的配方等。工艺控制器71根据来自用户界面72的指示等,根据需要从存储部73调出任意的控制程序和配方并执行。由此,在工艺控制器71的控制下,等离子体氮化处理装置1的处理容器2内进行所希望的处理。
上述的控制程序和配方,例如可利用储存于CD-ROM、硬盘、软盘、闪存、DVD、蓝光盘等计算机可读取存储介质的状态的物。另外,上述配方也可以是从其他装置例如介由专用线路即使传输而在线利用。
在本实施方式中,控制部7对等离子体氮化处理装置1的各构成部进行控制而使本实施方式的等离子体氮化处理方法得以进行。具体而言,控制部7以进行以下的等离子体氮化处理方法地进行控制:通过气体供给部4将含氮气体供给到处理容器2内,通过排气装置5将处理容器2内的压力设定在133Pa~1333Pa的范围内(更优选为267Pa~1333Pa的范围内),通过微导波入装置6在处理容器2内生成含氮等离子体,通过该含氮等离子体,不使作为图2所示的作为第2部分100B的表面的第2表面部100Ba氮化而选择性地氮化作为第1部分100A的表面的第1表面部100Aa,在第1表面部100Aa形成氮化物膜(氮化钨膜)。
如上所述,作为等离子体氮化处理装置1的主要构成,具备:处理容器2、载置台21、排气室3、气体供给部4、排气装置5、微导波入装置6、控制部7。在这样构成的等离子体氮化处理装置1中,可在600℃以下(例如,室温(25℃左右)~600℃的范围内)的低温下,进行对衬底膜、基板(晶片W)等损伤少的等离子体处理。另外,等离子体氮化处理装置1中,由于等离子体的均匀性优异,所以即使对大口径的晶片W(被处理体)也能实现工艺的均匀性。
接着,参照图7说明本实施方式的半导体装置的制造方法、并对使用RLSA方式的等离子体氮化处理装置1的等离子体氮化处理的顺序进行说明。图7是表示本实施方式的半导体装置的制造方法的流程图。
本实施方式的半导体装置的制造方法是制造具备结构体的半导体装置的方法,所述结构体包括含金属的第1部分和含硅的第2部分。在此,以制造具备图2所示的结构体100的半导体装置的情况为例进行说明。结构体100包括作为金属含有钨的第1部分100A和含硅的第2部分100B。另外,结构体100具有硅基板101和2个层叠体102。层叠体102具有栅极绝缘层103、第1电极层104、阻挡层105以及第2电极层106。第1部分100A包括阻挡层105和第2电极层106。第2部分100B包括硅基板101、栅极绝缘层103以及第1电极层104。
如图7所示,本实施方式的半导体装置的制造方法具备以下工序:形成初期层叠膜的工序(S11)、形成结构体的工序(S12)、将晶片运送至处理容器的工序(S13)、向处理容器内供给含氮气体的工序(S14)、将处理容器内的压力设定为规定的压力的工序(S15)、在处理容器内生成含氮等离子体的工序(S16)、通过含氮等离子体选择性地形成氮化物膜的等离子体氮化处理工序(S17)、以及从处理容器送出晶片的工序(S18)。
在形成初期层叠膜的工序(S11)中,在晶片W(硅基板101)上形成以后成为第1和第2部分100A、100B的至少一部分的初期层叠膜。在图2所示的例子中,初期层叠膜包括:以后成为栅极绝缘层103的由氮氧化硅(SiON)构成的层、以后成为第1电极层104的由多晶硅构成的层、以后成为阻挡层105的由氮化钨构成的层、和以后成为第2电极层106的由钨构成的层。
在形成结构体100的工序(S12)中,对上述初期层叠膜进行蚀刻,以露出作为第1部分100A的表面的第1表面部100Aa和作为第2部分100B的表面的第2表面部100Ba的方式形成结构体100。结构体100例如通过使用光刻、干式蚀刻等来蚀刻初期层叠膜而形成。
在将晶片W运送至处理容器2内的工序(S13)中,将形成有上述结构体100的晶片W运送至处理容器2内。具体而言,首先,使栅极阀G(参照图4)成为打开状态。接着,通过未图示的运送装置将形成有结构体100的晶片W从送入送出口12a送入处理容器2内,载置于载置台21的上表面(晶片载置面)。接着,使栅极阀G成为关闭状态。
向处理容器内供给含氮气体的工序(S14)与图1所示的第1步骤S1对应。将处理容器内的压力设定为规定的压力的工序(S15)与图1所示的第2步骤S2对应。在处理容器内生成含氮等离子体的工序(S16)与图1所示的第3步骤对应。通过含氮等离子体选择性地形成氮化物膜的工序(S17)与图1所示的第4步骤S4对应。以下,对这些工序进行说明。
在向处理容器内供给含氮气体的工序(S14)中,通过排气装置5对处理容器2内进行减压排气的同时,以规定的流量将非活性气体和含氮气体从气体供给部4的非活性气体供给源41A和含氮气体供给源41B分别经由气体导入部15导入到处理容器2内。在将处理容器内的压力设定为规定的压力的工序(S15)中,边导入非活性气体和含氮气体,边通过排气装置5将处理容器2内的压力控制为规定的压力。与图1所示的第2步骤S2同样地,处理容器2内的压力优选在133Pa~1333Pa的范围内,更优选在267Pa~1333Pa的范围内。
在处理容器内生成含氮等离子体的工序(S16)中,按如下所述在处理容器2内生成含氮等离子体。在该工序中,将在微波生成装置68中生成的规定频率(例如,2.45GHz)的微波介由匹配电路67导入导波管65。被导入导波管65的微波依次穿过矩形导波管65B、模式变换器66以及同轴导波管65A,介由内导体65A1供给到平面天线62。微波在矩形导波管65B内以TE模式进行传播,该TE模式的微波在模式变换器66中变换为TEM模式,在同轴导波管65A内向平面天线62传播。然后,微波从以贯通平面天线62的方式形成的隙缝状的微波放射孔62a经由透过板61放射到处理容器2内中的晶片W的上方空间。
通过如上述所述地放射到处理容器2内的微波,在处理容器2内形成电磁场,使非活性气体和含氮气体等处理气体等离子体化。如此地生成含氮等离子体。
在通过含氮等离子体选择性地形成氮化物膜的工序(S17)中,通过如上述地生成的含氮等离子体,不使第2表面部100Ba氮化而选择性地氮化第1表面部100Aa,在第1表面部100Aa形成氮化物膜。在图2所示的例子中,由于第1部分100A含有钨,所以在第1表面部100Aa形成氮化钨膜。在该工序中,在第2表面部100Ba几乎不形成氮化物膜。
应予说明,本实施方式的等离子体氮化处理(等离子体氮化处理工序)几乎不受上述等离子体处理条件以外的条件的影响。因此,作为等离子体处理条件,除上述以外,虽然处理气体的种类以及流量比率、微波功率、处理温度等也很重要,但作为这些条件可采用一般的条件。
在此,对其他的等离子体处理条件的一个例子进行说明。作为处理气体使用属于稀有气体的Ar气、作为含氮气体使用N2气。全部处理气体中含有的N2气的流量比率(体积比率)例如在10%~70%的范围内。微波的功率密度例如在0.255W/cm2~2.55W/cm2的范围内。应予说明,微波的功率密度是指每1cm2透过板61的面积当中的微波功率。载置台21的温度例如在室温(25℃程度)~600℃的范围内。处理时间虽然也依存于其他的等离子体处理条件,但例如在10秒~180秒的范围内。通过从平面天线62的多个微波放射孔62a放射微波,微波激发等离子体成为约1×1010~5×1012/cm3的高密度且在晶片W附近约1.2eV以下的低电子温度的等离子体。
以上的处理条件可以在控制部7的存储部73中作为配方进行保存。而且,通过工艺控制器71读出该配方并将控制信号送到等离子体氮化处理装置1的各构成部(例如,气体供给部4、排气装置5、微波生成装置68、加热器电源25等),由此实现基于所希望的条件的等离子体氮化处理。
在从处理容器送出晶片的工序(S18)中,选择性地氮化第1表面部100Aa而形成氮化物膜之后,从处理容器2送出晶片W。具体而言,首先,使栅极阀G成为打开状态。接着,利用未图示的运送装置,将载置于载置台21的上表面(晶片载置面)的晶片W穿过送入送出口12a从处理容器2送出。接着,使栅极阀G成为关闭状态。
应予说明,本实施方式的半导体装置的制造方法可以在将晶片从处理容器送出的工序(S18)之后进一步具备以覆盖结构体100的方式形成绝缘层108(参照图3)的工序。在该工序中,首先,将如上所述形成有氮化物膜(氮化钨膜)的晶片W送入到进行形成绝缘层108的处理的其他装置(例如,CVD装置)的处理容器内。接着,利用CVD法,以覆盖结构体100的方式形成由硅氧化物构成的绝缘层108。
另外,本实施方式的半导体装置的制造方法也可以在形成绝缘层108之后进一步具备对结构体100整体实施退火处理的工序。另外,出于例如修复栅极绝缘层103的损伤、缺陷的目的,本实施方式的半导体装置的制造方法可以在等离子体氮化处理工序(S17)和形成绝缘层108的工序之间进一步具备利用热氧化法等实施氧化处理的工序。
如以上所说明,在本实施方式的等离子体氮化处理方法、等离子体氮化处理装置1以及半导体装置的制造方法中,向处理容器2内供给含氮气体,将处理容器2内的压力设定为133Pa~1333Pa的范围内,在处理容器2内生成含氮等离子体,利用该含氮等离子体不使第2表面部100Ba氮化而选择性地氮化第1表面部100Aa,在第1表面部100Aa形成氮化钨膜。在本实施方式中,由后面说明的实验结果可知,在作为含硅的第2部分100B的表面的第2表面部100Ba几乎不形成氮化物膜。由此,根据本实施方式,能够形成仅覆盖作为第1部分100A的表面的第1表面部100Aa的氮化物膜(氮化钨膜107)。
另外,如上所述,根据本实施方式,由于在第2表面部100Ba几乎不形成氮化物膜,所以能够防止在层叠体102的第1和第2电极层104、106与硅基板101之间发生漏电。另外,根据本实施方式,能够防止构成层叠体102的栅极绝缘层103的氮氧化硅的氮浓度发生变化而栅极绝缘层103的阈值电压发生变动。
另外,在本实施方式中,氮化钨膜107作为第1部分100A的抗氧化膜起作用。因此,根据本实施方式,即使在形成氮化钨膜107之后,例如利用CVD法以覆盖结构体100的方式形成由硅氧化物构成的绝缘层108的情况下,也能够防止第1部分100A被氧化。同样地,根据本实施方式,即使在形成绝缘层108之后,对结构体100整体实施退火处理时,或出于例如修复栅极绝缘层103的损伤、缺陷的目的,在等离子体氮化处理工序和形成绝缘层108的工序之间实施利用热氧化法等的氧化处理时,也能够防止第1部分100A被氧化。
接着,对显示在作为含硅的第2部分100B的表面的第2表面部100Ba几乎不形成氮化物膜的实验结果进行详细说明。首先,对该实验内容进行说明。在实验中,使用以下第1~第3试样。第1试样为由硅构成的硅基板。应予说明,在硅基板的表面形成有化学氧化物膜。第2试样中通过高温下将硅基板的表面热氧化而形成了硅氧化物膜的试样。第2试样中的硅氧化物膜的厚度为6nm。第3试样是在硅基板的表面形成有钨膜的试样。第3试样中的钨膜的厚度为50nm。
第1试样用于查验在硅基板上是否形成氮化物膜,与本实施方式中的硅基板101对应。第2试样用于查验在硅氧化物膜上是否形成氮化物膜,虽然不是氮氧化硅,但与本实施方式中的栅极绝缘层103对应。第3试样用于查验在钨膜上是否形成氮化物膜,与本实施方式中的第2电极层106对应。
在实验中,通过等离子体氮化处理装置1,对第1~第3试样实施等离子体氮化处理。实验中的等离子体处理条件如下所述。作为处理气体使用属于稀有气体Ar气,作为含氮气体使用N2气。Ar气的流量设为1000mL/min(sccm),N2气的流量设为200mL/min(sccm)。微波的功率密度设为0.77W/cm2。载置台21的温度设为500℃。处理时间设为90秒。在实验中,使处理容器2内的压力在6.6~1000Pa的范围内进行变化。
另外,在实验中,使用表示每单位面积的氮气注入量的氮剂量作为是否形成氮化物膜的指标。在氮剂量的测定中使用X射线光电子能谱(X-ray Photoelectron Spectroscopy;XPS)。
接着,参照图8和图9,对实验的结果进行说明。图8是表示处理容器2内的压力和氮剂量的关系的特征图。图9是表示处理容器2内的压力和氮剂量比的关系的特征图。应予说明,氮剂量比是指2个试样的氮剂量之比。在图8中,横轴表示处理容器2内的压力,纵轴表示氮剂量。另外,在图8中,三角形记号、圆形记号、矩形记号分别表示第1试样(硅基板)、第2试样(硅氧化物膜)、第3试样(钨膜)的氮剂量。在图9中,横轴表示处理容器2内的压力,纵轴表示氮剂量比。另外,在图9中,三角形记号、圆形记号、矩形记号分别表示相对于第1试样的氮剂量的第3试样的氮剂量之比、相对于第2试样的氮剂量的第1试样的氮剂量之比、相对于第2试样的氮剂量的第3试样的氮剂量之比。
从图8可知,在第1和第2试样(硅基板和硅氧化物膜)中,当压力增大时氮剂量减少,与此相对,在第3试样(钨膜)中,即使压力增大氮剂量也几乎没变化。特别是当压力为133Pa以上时,第1和第2试样的氮剂量显著降低。该实验结果显示当压力为133Pa以上时,与第3试样相比,第1和第2试样中几乎不形成氮化物膜。即,该实验结果显示在本实施方式中当处理容器2内的压力为133Pa以上时,在作为含硅的第2的部分100B的表面的第2表面部100Ba几乎不形成氮化物膜的同时,在作为含有钨的第1部分100A的表面的第1表面部100Aa选择性地形成氮化钨膜107。
应予说明,在实验中,将处理容器2内的压力的上限设为1000Pa,但从图8可理解为显示了如下的趋势:即使为1000Pa以上,在第1和第2试样中几乎不形成氮化物膜而在第3试样中选择性地形成氮化物膜。但是,处理容器2内的压力优选为属于等离子体氮化处理装置1的一般的压力的上限的1333Pa以下。
应予说明,如图9所示,当压力为267Pa以上时,相对于第1试样(硅基板)的氮剂量的第3试样(钨膜)的氮剂量之比、和相对于第2试样(硅氧化物膜)的氮剂量的第3试样的氮剂量之比均为3以上,可以说足够大。因此,更优选使处理容器2内的压力在267Pa~1333Pa的范围内。
另外,如图8所示,当压力为267Pa以上时,第2试样(硅氧化物膜)的氮剂量几乎为0,与第1试样(硅基板)的氮剂量相比变小。因此,根据本实施方式,通过将处理容器2内的压力设为267Pa以上的同时继续等离子体氮化处理,能够防止在由硅氧化物或氮氧化硅构成的任意层的表面形成氮化物膜的同时能够形成覆盖第1部分100A和硅基板101的表面的氮化物膜。
接着,与第1和第2比较例进行比较而对本实施方式的效果进行更详细的说明。首先,参照图10对第1比较例进行说明。图10是表示第1比较例中的结构体100的截面图。在第1比较例中,不进行本实施方式的等离子体氮化处理,而是利用CVD法以覆盖结构体100的方式形成由硅氧化物构成的绝缘层108。在图10中,符号109表示在形成绝缘层108时,因由钨构成的第2电极层106的氧化而形成的氧化钨膜。如此地形成有氧化钨膜时,可能作为栅电极无法得到所希望的电特性。另外,虽未图示,但这样形成的氧化钨从第2电极层106的表面飞散,在氧化钨和绝缘层108的界面形成空穴等空间,结果,作为栅电极的电压特性发生变化,可能无法得到所希望的电特性。
与此相对,在本实施方式中,如上所述,在作为含有第2电极层106的结构体100的第1部分100A的表面的第1表面部100Aa形成有作为抗氧化膜起作用的氮化钨膜107,所以能够防止因第2电极层106被氧化而作为栅电极的特性发生变化。
接着,参照图11对第2比较例进行说明。图11是表示第2比较例中的结构体100的截面图。在第2比较例中,以第1表面部100Aa和第2表面部100Ba的二者上形成氮化膜的条件(例如,处理容器2内的压力为133Pa以下)进行等离子体氮化处理。此时,在作为含硅的第2部分100B的表面的第2表面部100Ba也形成氮化物膜。图11中,符号110表示氮化物膜。氮化物膜110包括形成于第1表面部100Aa的部分110A和形成于第2表面部100Ba的部分110B。部分110A由氮化钨膜构成,部分110B由硅氮化物构成。
在第2比较例中,由于氮化物膜110沿着硅基板101和层叠体102的表面连续地形成,所以有可能在层叠体102的第1和第2电极层104、106与硅基板101之间发生漏电。另外,由于在层叠体102的栅极绝缘层103的表面103a上也形成氮化物膜110(部分110B),所以构成栅极绝缘层103的氮氧化硅的氮浓度发生变化从而栅极绝缘层103的阈值电压可能会发生变动。另外,有时还需要用于除去在硅基板101的表面101a形成的氮化物膜110的部分110B的工序。
与此相对,如上所述,在本实施方式中,由于在第2表面部100Ba几乎不形成氮化物膜,所以不会发生上述问题。
应予说明,本发明不限于上述实施方式,可进行各种变更。例如,在实施方式中虽然使用了RLSA方式的等离子体氮化处理装置1,但也可以使用其他方式的等离子体处理装置,例如可以利用电子回旋共振(ECR)等离子体、磁控等离子体、表面波等离子体(SWP)等方式的等离子体处理装置。
另外,在实施方式中,作为结构体100的层叠体102,对DRAM中使用的栅电极进行了说明,但层叠体102也可以是半导体装置中的含有钨的具有同样构造的其它结构体。

Claims (8)

1.一种等离子体氮化处理方法,其特征在于,将被处理体配置在处理容器内,对所述被处理体实施等离子体处理,其中所述被处理体是包括含金属的第1部分和含硅的第2部分且以使所述第1和第2部分的表面露出的方式形成的结构体,由此选择性地氮化所述第1部分的表面,在所述第1部分的表面选择性地形成金属氮化物膜,
所述第1部分含有钨,
向所述处理容器内供给含氮气体,将所述处理容器内的压力设定在133Pa~1333Pa的范围内,在所述处理容器内生成含氮等离子体,通过该含氮等离子体,不使所述第2部分的表面氮化而选择性地氮化所述第1部分的表面,在所述第1部分的表面形成氮化钨膜。
2.根据权利要求1所述的等离子体氮化处理方法,其特征在于,将所述处理容器内的压力设定在267Pa~1333Pa的范围内。
3.根据权利要求1或2所述的等离子体氮化处理方法,其特征在于,所述第2部分包括由硅构成的硅基板,
所述第1部分配置在所述硅基板的上表面的一部分上。
4.根据权利要求1或2所述的等离子体氮化处理方法,其特征在于,所述结构体具备由硅构成的硅基板和配置在所述硅基板的上表面的一部分上的层叠体,
所述层叠体具有如下层:由氮氧化硅构成的绝缘层、层叠在所述绝缘层上的由多晶硅构成的第1电极层、层叠在所述第1电极层上的由氮化钨构成的阻挡层、以及层叠在所述阻挡层上的由钨构成的第2电极层,
所述第1部分包括所述阻挡层和所述第2电极层;
所述第2部分包括所述硅基板、所述绝缘层和所述第1电极层。
5.根据权利要求1~4中任一项所述的等离子体氮化处理方法,其特征在于,所述含氮等离子体是微波激发等离子体,所述微波激发等离子体是利用从具有多个隙缝的平面天线导入到所述处理容器内的微波将供给到所述处理容器内的含氮气体等离子体化而得的。
6.一种等离子体氮化处理装置,其特征在于,对被处理体实施等离子体处理,其中所述被处理体是包括含金属的第1部分和含硅的第2部分且以使所述第1和第2部分的表面露出的方式形成的结构体,由此选择性地氮化所述第1部分的表面,在所述第1部分的表面选择性地形成金属氮化物膜,
所述第1部分含有钨,
所述等离子体氮化处理装置具备:
送入所述被处理体而进行规定处理的处理容器,
向所述处理容器内供给作为处理气体的含氮气体的气体供给机构,
对所述处理容器内进行减压排气的排气装置,
在所述处理容器内生成等离子体的等离子体生成机构,和
控制部,所述控制部按以下方式进行控制:通过所述气体供给机构向所述处理容器内供给含氮气体,通过所述排气装置将所述处理容器内的压力设定在133Pa~1333Pa的范围内,通过所述等离子体生成机构在所述处理容器内生成含氮等离子体,通过该含氮等离子体不使所述第2部分的表面氮化而选择性地氮化所述第1部分的表面,在所述第1部分的表面形成氮化钨膜。
7.一种半导体装置的制造方法,其特征在于,是制造具备如下结构体的半导体装置的方法,所述结构体包括含金属的第1部分和含硅的第2部分,
所述第1部分含有钨,
所述半导体装置的制造方法具备如下工序:
在半导体基板上形成以后成为所述第1和第2部分的至少一部分的初期层叠膜的工序,
蚀刻所述初期层叠膜,以使所述第1和第2部分的表面露出的方式形成所述结构体的工序,
将形成有所述结构体的所述半导体基板运送到处理容器内的工序,
向所述处理容器内供给含氮气体的工序,
将所述处理容器内的压力设定在133Pa~1333Pa的范围内的工序,
在所述处理容器内生成含氮等离子体的工序,以及
通过所述含氮等离子体,不使所述第2部分的表面氮化而选择性地氮化所述第1部分的表面,在所述第1部分的表面形成氮化钨膜的等离子体氮化处理工序。
8.根据权利要求7所述的半导体装置的制造方法,其特征在于,在所述等离子体氮化处理工序后进一步具备以覆盖所述结构体的方式形成由硅氧化物构成的绝缘层的工序。
CN2012100890625A 2011-03-31 2012-03-29 等离子体氮化处理方法和装置、及半导体装置的制造方法 Pending CN102737987A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011-080077 2011-03-31
JP2011080077A JP2012216633A (ja) 2011-03-31 2011-03-31 プラズマ窒化処理方法、プラズマ窒化処理装置および半導体装置の製造方法

Publications (1)

Publication Number Publication Date
CN102737987A true CN102737987A (zh) 2012-10-17

Family

ID=46927794

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2012100890625A Pending CN102737987A (zh) 2011-03-31 2012-03-29 等离子体氮化处理方法和装置、及半导体装置的制造方法

Country Status (5)

Country Link
US (1) US20120252209A1 (zh)
JP (1) JP2012216633A (zh)
KR (1) KR20120112244A (zh)
CN (1) CN102737987A (zh)
TW (1) TW201304012A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
CN104157598A (zh) * 2014-08-21 2014-11-19 上海华力微电子有限公司 等离子氮化处理装置、栅介质层制作方法及设备
US9911821B2 (en) 2015-11-13 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20200101717A (ko) * 2019-02-20 2020-08-28 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284634B1 (en) * 1999-02-22 2001-09-04 Hyundai Electronics Industries Co., Ltd. Method for forming metal line in semiconductor device
US20040121526A1 (en) * 2002-12-20 2004-06-24 Naoki Yamamoto Fabrication process of a semiconductor integrated circuit device
KR20070087756A (ko) * 2005-10-26 2007-08-29 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성방법
CN101080810A (zh) * 2005-09-22 2007-11-28 东京毅力科创株式会社 选择性等离子体处理方法
CN101313393A (zh) * 2006-02-28 2008-11-26 东京毅力科创株式会社 等离子体氧化处理方法和半导体装置的制造方法
KR100905177B1 (ko) * 2002-10-25 2009-06-29 주식회사 하이닉스반도체 반도체소자의 제조방법
KR20100019633A (ko) * 2008-08-11 2010-02-19 주식회사 하이닉스반도체 반도체 소자의 제조 방법

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
JP4413556B2 (ja) * 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
US7906392B2 (en) * 2008-01-15 2011-03-15 Sandisk 3D Llc Pillar devices and methods of making thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284634B1 (en) * 1999-02-22 2001-09-04 Hyundai Electronics Industries Co., Ltd. Method for forming metal line in semiconductor device
KR100905177B1 (ko) * 2002-10-25 2009-06-29 주식회사 하이닉스반도체 반도체소자의 제조방법
US20040121526A1 (en) * 2002-12-20 2004-06-24 Naoki Yamamoto Fabrication process of a semiconductor integrated circuit device
CN101080810A (zh) * 2005-09-22 2007-11-28 东京毅力科创株式会社 选择性等离子体处理方法
KR20070087756A (ko) * 2005-10-26 2007-08-29 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성방법
CN101313393A (zh) * 2006-02-28 2008-11-26 东京毅力科创株式会社 等离子体氧化处理方法和半导体装置的制造方法
KR20100019633A (ko) * 2008-08-11 2010-02-19 주식회사 하이닉스반도체 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
TW201304012A (zh) 2013-01-16
KR20120112244A (ko) 2012-10-11
US20120252209A1 (en) 2012-10-04
JP2012216633A (ja) 2012-11-08

Similar Documents

Publication Publication Date Title
KR101364834B1 (ko) 플라즈마 질화 처리 방법
US8728917B2 (en) Carbon nanotube forming method and pre-treatment method therefor
JP4522916B2 (ja) プラズマ窒化処理方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置
KR101020334B1 (ko) 마이크로파 플라즈마 처리 장치
US8034179B2 (en) Method for insulating film formation, storage medium from which information is readable with computer, and processing system
US7811945B2 (en) Selective plasma processing method
JP2007042951A (ja) プラズマ処理装置
CN101147244A (zh) 基板处理方法和基板处理装置
CN101313393A (zh) 等离子体氧化处理方法和半导体装置的制造方法
JP5096047B2 (ja) マイクロ波プラズマ処理装置およびマイクロ波透過板
US8026187B2 (en) Method of forming silicon oxide film and method of production of semiconductor memory device using this method
JPWO2006025363A1 (ja) シリコン酸化膜の形成方法、半導体装置の製造方法およびコンピュータ記憶媒体
JP2006310736A (ja) ゲート絶縁膜の製造方法および半導体装置の製造方法
CN102738059A (zh) 等离子体处理方法、以及元件隔离方法
CN102737987A (zh) 等离子体氮化处理方法和装置、及半导体装置的制造方法
CN102165568B (zh) 硅氧化膜的形成方法和装置
JPWO2011125705A1 (ja) プラズマ窒化処理方法及びプラズマ窒化処理装置
KR20140038298A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP4975622B2 (ja) 半導体装置の製造方法
JP2012079785A (ja) 絶縁膜の改質方法
JP5291467B2 (ja) プラズマ酸化処理方法、記憶媒体、及び、プラズマ処理装置
JP5374749B2 (ja) 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
JP5374748B2 (ja) 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
JP2013197449A (ja) 基板処理方法及び基板処理装置
JP2009246210A (ja) 窒化珪素膜の製造方法、窒化珪素膜積層体の製造方法、コンピュータ読み取り可能な記憶媒体およびプラズマcvd装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121017