CN102033433B - 用于为显微结构的电磁散射性质建模的方法和设备以及用于重构显微结构的方法和设备 - Google Patents

用于为显微结构的电磁散射性质建模的方法和设备以及用于重构显微结构的方法和设备 Download PDF

Info

Publication number
CN102033433B
CN102033433B CN201010294451.2A CN201010294451A CN102033433B CN 102033433 B CN102033433 B CN 102033433B CN 201010294451 A CN201010294451 A CN 201010294451A CN 102033433 B CN102033433 B CN 102033433B
Authority
CN
China
Prior art keywords
electromagnetic scattering
convolution
character
field
vector field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010294451.2A
Other languages
English (en)
Other versions
CN102033433A (zh
Inventor
M·C·范布尔登
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN102033433A publication Critical patent/CN102033433A/zh
Application granted granted Critical
Publication of CN102033433B publication Critical patent/CN102033433B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Complex Calculations (AREA)
  • Aerials With Secondary Devices (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Shielding Devices Or Components To Electric Or Magnetic Fields (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

本发明公开了一种用于对显微结构的电磁散射性质建模的方法和设备以及用于重构显微结构的方法和设备。通过数值求解矢量场F的体积积分方程式实现计算结构的电磁散射性质的体积积分方法的收敛性改善。矢量场F可以通过基的变化与电场E相关,并且可以在材料边界处可以是连续的。根据Laurent(劳伦)规则使用卷积算子执行矢量场F的卷积,其允许经由一维和/或二维快速傅里叶变换实现有效的矩阵矢量乘积。一种可逆的卷积-基变化算子C配置成通过根据周期性结构的材料性质和几何性质执行基的变化而将矢量场F转换成电场E。改善的体积积分方法可以并入量测工具中的向前衍射模型,用于重构物体的近似结构,以便评估光刻设备的临界尺寸性能。

Description

用于为显微结构的电磁散射性质建模的方法和设备以及用于重构显微结构的方法和设备
技术领域
本发明涉及一种周期结构的电磁散射性质的数值计算。本发明可以用于例如显微结构的量测,例如评估光刻设备的临界尺寸(CD)性能。
背景技术
光刻设备是一种将所需图案应用到衬底上,通常是衬底的目标部分上的机器。例如,可以将光刻设备用在集成电路(ICs)的制造中。在这种情况下,可以将可选地称为掩模或掩模版的图案形成装置用于生成在所述IC的单层上待形成的电路图案。可以将该图案转移到衬底(例如,硅晶片)上的目标部分(例如,包括一部分管芯、一个或多个管芯)上。通常,图案的转移是通过把图案成像到提供到衬底上的辐射敏感材料(抗蚀剂)层上进行的。通常,单独的衬底将包含被连续形成图案的相邻目标部分的网络。公知的光刻设备包括:所谓的步进机,在步进机中,通过将全部图案一次曝光到所述目标部分上来辐射每一个目标部分;和所谓的扫描器,在所述扫描器中,通过辐射束沿给定方向(“扫描”方向)扫描所述图案、同时沿与该方向平行或反向平行的方向同步地扫描所述衬底来辐射每一个目标部分。也可能通过将图案压印(imprinting)到衬底上的方式从图案形成装置将图案转移到衬底上。
为了监测光刻工艺,需要测量图案化衬底的参数,例如形成在衬底上或衬底中的连续层之间的重叠误差。有许多技术用于测量在光刻工艺中形成的显微结构,包括使用扫描电子显微镜以及多种专用的工具。专用检查工具的一种形式是散射仪,其中辐射束被引导到衬底表面上的目标部分处,并且测量散射或反射束的性质。通过比较在束已经被衬底反射或散射之前和之后的束的性质,可以确定衬底的性质。这可以例如通过将被反射的束和在与已知的衬底性质相关的已知测量值的库中存储的数据进行比较来实现。已知两种主要类型的散射仪。光谱散射仪引导宽带辐射束到衬底上,并且测量散射到特定窄角度范围中的辐射的光谱(强度作为波长的函数)。角分辨散射仪使用单色辐射束并且测量作为角度的函数的散射辐射的强度。
更通常地,能够将散射辐射与从结构的模型中数学上预测的散射行为对比(这些模型可以自由地建立和变化),直到预测的行为与观察到的来自实际样品的散射匹配,这将是有用的。不幸的是,虽然从原理上知道如何通过数值过程对散射建模,但是已知技术的计算负担导致这些技术不切实际,尤其是当期望实时重构时,和/或是在所涉及的结构比沿一维呈周期变化的简单结构更加复杂的情况下。
发明内容
本发明旨在,在半导体加工领域中,快速地执行周期结构的电磁散射性质的精确的数值计算。
根据本发明的第一方面,提供一种计算结构的电磁散射性质,例如反射系数,的方法,所述结构沿至少一个方向(x、y)是周期性的并且包括不同性质的材料,以便在材料边界处引起电磁场E的不连续,所述方法包括对通过基的变化与电磁场E相关的矢量场F的体积积分方程式进行数值求解,以便确定矢量场F的近似解,所述矢量场F在材料边界处是连续的。
所述矢量场F由至少一个有关至少一个方向(x,y)的有限傅里叶级数表示,并且其中数值求解体积积分方程式的步骤包括通过用卷积-基变化算子C与矢量场F的卷积来确定电磁场E的分量。
根据本发明的第二方面,提供一种通过检测的由辐射照射物体产生的电磁散射性能重构所述物体的近似结构的方法,所述方法包括步骤:估计至少一个物体结构;从至少一个估计的物体结构确定至少一个模型电磁散射性质;对比检测的电磁散射性质与至少一个模型电磁散射性质;和基于对比结果确定近似物体结构,其中使用根据第一方面的方法确定模型电磁散射性质。
根据本发明的第三方面,提供一种用于重构物体的近似结构的检查设备,所述检查设备包括:照射系统,配置成用辐射照射物体;检测系统,配置成检测由所述照射产生的电磁散射性质;处理器,配置成:估计至少一个物体结构;由至少一个估计的物体结构确定至少一个模型电磁散射性质;对比检测的电磁散射性质与至少一个模型电磁散射性质;和由检测的电磁散射性质和至少一个模型电磁散射性质之间的差异确定近似物体结构,其中,所述处理器配置成使用根据第一方面的方法确定模型电磁散射性质。
根据本发明的第四方面,提供一种计算机程序产品,包括用于计算结构的电磁散射性质的一个或更多个机器可读指令,用于计算结构的电磁散射性质,所述指令适于引起一个或更多个处理器执行根据第一方面的方法。
根据本发明的第五方面,提供一种计算结构的电磁散射性质的方法,所述结构沿至少一个方向(x、y)是周期性的,并且包括不同性质的材料,以便在材料边界处引起电磁场E的不连续,所述方法包括数值求解与电磁场E有关且与电磁场E不同的矢量场F的体积积分方程式,以便确定矢量场F的近似解。
根据本发明的第六方面,提供一种通过检测的由辐射照射物体产生的电磁散射性质来重构所述物体的近似结构的方法,所述方法包括步骤:估计至少一个物体结构;从至少一个估计的物体结构确定至少一个模型电磁散射性质;对比检测的电磁散射性质与至少一个模型电磁散射性质;和基于对比的结果确定近似物体结构,其中使用根据第五方面的方法确定模型电磁散射性质。根据本发明的第七方面,提供一种用于重构物体的近似结构的检查设备,所述检查设备包括:照射系统,配置成用辐射照射物体;检测系统,配置成检测由所述照射产生的电磁散射性质;处理器,配置成:估计至少一个物体结构;由至少一个估计的物体结构确定至少一个模型电磁散射性质;对比检测的电磁散射性质与至少一个模型电磁散射性质;和由检测的电磁散射性质和至少一个模型电磁散射性质之间的差异确定近似物体结构,其中,所述处理器配置成使用根据第五方面的方法确定模型电磁散射性质。根据本发明的第八方面,提供一种计算机程序产品,包括用于计算结构的电磁散射性质的一个或更多个机器可读指令序列,所述指令适于引起一个或更多个处理器执行根据第五方面的方法。
下文中将参照附图详细地描述本发明更多的特征和优点以及本发明不同实施例的结构和操作。要说明的是,本发明不限于这里描述的具体的实施例。本文给出的这些实施例是示例性的。基于这些实施例中包含的教导,附加的实施方式对本领域技术人员来说是显而易见的。
附图说明
这里附图并入说明书并且形成说明书的一部分,示出本发明并且和说明书一起进一步用来说明本发明的原理,以允许本领域技术人员能够实施和使用本发明。
图1示出一种光刻设备。
图2示出光刻单元或簇。
图3示出第一散射仪。
图4示出第二散射仪。
图5示出用于根据散射仪测量值重构一维周期衍射光栅的一般过程。
图6示出用于模型化抗蚀剂结构的传统的严格耦合波分析(RCWA)和根据本发明的实施例的体积积分方法(VIM)的精确度随处理时间变化的曲线。
图7示出了与图6中所示相类似的数据,但是是用于模型化硅结构。
图8示出可以根据本发明的实施例重构的散射几何结构。
图9示出背景的结构并示出了使用格林函数计算入射场与分层介质的相互作用。
图10是对应体积积分公式的求解线性系统的高级方法的流程图。
图11是使用现有技术已知的体积积分公式计算更新矢量的计算流程图。
图12示出使用连续的矢量场数值求解体积积分公式的本发明实施例。
图13是根据本发明实施例的计算更新矢量的流程图。
图14示意地示出计算机系统的形式,其配置有程序和数据以便执行根据本发明实施例的体积积分方法。
图15示出具有椭圆横截面的二元光栅单元的俯视图和侧视图。
图16示出具有椭圆横截面的阶梯光栅单元的俯视图和侧视图。
图17示出通过阶梯近似逼近或近似椭圆形的过程。
图18示出基准模型结构。
图19示出使用参照图11描述的现有技术方法计算的现有技术中的体积积分方法的收敛结果,与严格耦合波分析结果对比。
图20示出由与图19中示出的相同的数据得出的计时结果。
图21示出根据本发明实施例得出的改善的收敛结果,与严格耦合波分析结果对比。
图22示出根据本发明实施例得出的计时结果,与严格耦合波分析结果对比。
通过以下结合附图的详细描述,本发明的特征和优点将变得更加明显。在附图中,相同的附图标记表示相同或功能相似的元件。此外,附图标记的最左边的数字表示附图标记首先出现的附图。
具体实施方式
本说明书公开一个或更多个包含或并入本发明特征的实施例。所公开的实施例仅给出本发明的示例。本发明的范围不限于所公开的实施例。本发明由这里的权利要求限定。
所述的实施例和在说明书提到的“一个实施例”、“实施例”、“示例性实施例”等表示所述的实施例可以包括特定特征、结构或性质,但是,每个实施例可以不必包括特定的特征、结构或性质。而且,这些段落不必指的是同一个实施例。此外,当特定特征、结构或性质与实施例结合进行描述时,应该理解,无论是否明确描述,本领域技术人员所知的知识可以实现将特征、结构或性质与其他实施例的结合。
本发明实施例可以应用到硬件、固件、软件或其任何组合。本发明实施例还可以应用为存储在机器可读介质上的指令,其可以通过一个或更多个处理器读取和执行。机器可读介质可以包括任何用于以机器(例如计算设备)可读形式存储或传送信息的机构。例如,机器可读介质可以包括:只读存储器(ROM);随机存取存储器(RAM);磁盘存储媒介;光学存储媒介;闪存设备;传播信号(例如,载波、红外信号、数字信号等)的电、光、声或其他形式,以及其他。此外,这里可以将固件、软件、程序、指令描述成执行特定操作。然而,应该认识到,这些描述仅为了方便并且这些操作实际上由计算设备、处理器、控制器或其他执行所述固件、软件、程序、指令等的设备来完成的。
然而,在详细描述这些实施例之前,作为指引,先给出本发明的实施例可以应用的示例环境。
图1示意地示出一种光刻设备。所述设备包括:照射系统(照射器)IL,其配置用于调节辐射束B(例如,紫外(UV)辐射或深紫外(DUV)辐射);支撑结构(例如,掩模台)MT,其构造用于支撑图案形成装置(例如掩模)MA,并与用于根据确定的参数精确地定位图案形成装置MA的第一定位装置PM相连;衬底台(例如晶片台)WT,其构造用于保持衬底(例如涂覆有抗蚀剂的晶片)W,并与配置用于根据确定的参数精确地定位衬底W的第二定位装置PW相连;和投影系统(例如折射式投影透镜系统)PS,其配置用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一根或多根管芯)上
照射系统IL可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件、或其任意组合,以引导、成形、或控制辐射。
所述支撑结构MT支撑,即承载图案形成装置MA的重量。支撑结构MT以依赖于图案形成装置MA的方向、光刻设备的设计以及诸如图案形成装置MA是否保持在真空环境中等其他条件的方式保持图案形成装置MA。所述支撑结构MT可以采用机械的、真空的、静电的或其它夹持技术保持图案形成装置MA。所述支撑结构MT可以是框架或台,例如,其可以根据需要成为固定的或可移动的。所述支撑结构MT可以确保图案形成装置MA位于所需的位置上(例如相对于投影系统PS)。在这里任何使用的术语“掩模版”或“掩模”都可以认为与更上位的术语“图案形成装置”同义。
这里所使用的术语“图案形成装置”应该被广义地理解为表示能够用于将图案在辐射束的横截面上赋予辐射束、以便在衬底的目标部分上形成图案的任何装置。应当注意,被赋予辐射束的图案可能不与在衬底的目标部分上的所需图案完全相符(例如如果该图案包括相移特征或所谓的辅助特征)。通常,被赋予辐射束的图案将与在目标部分上形成的器件中的特定的功能层相对应,例如集成电路。
图案形成装置MA可以是透射式的或反射式的。图案形成装置的示例包括掩模、可编程反射镜阵列以及可编程液晶显示(LCD)面板。掩模在光刻术中是公知的,并且包括诸如二元掩模类型、交替型相移掩模类型、衰减型相移掩模类型和各种混合掩模类型之类的掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每一个小反射镜可以独立地倾斜,以便沿不同方向反射入射的辐射束。所述已倾斜的反射镜将图案赋予由所述反射镜矩阵反射的辐射束。
这里使用的术语“投影系统”应该广义地解释为包括任意类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统、或其任意组合,如对于所使用的曝光辐射所适合的、或对于诸如使用浸没液或使用真空之类的其他因素所适合的。这里使用的术语“投影透镜”可以认为是与更上位的术语“投影系统”同义。
如这里所示的,所述设备是透射型的(例如,采用透射式掩模)。替代地,所述设备可以是反射型的(例如,采用如上所述类型的可编程反射镜阵列,或采用反射式掩模)。
光刻设备可以是具有两个(双台)或更多衬底台(和/或两个或更多的掩模台)的类型。在这种“多台”机器中,可以并行地使用附加的台,或可以在一个或更多个台上执行预备步骤的同时,将一个或更多个其它台用于曝光。
所述光刻设备还可以是这种类型,其中衬底的至少一部分可以由具有相对高的折射率的液体覆盖(例如水),以便填满投影系统和衬底之间的空间。浸没液体还可以施加到光刻设备的其他空间中,例如掩模和投影系统之间的空间。浸没技术在本领域是熟知的用于提高投影系统的数值孔径。这里使用的术语“浸没”并不意味着必须将结构(例如衬底)浸入到液体中,而仅意味着在曝光过程中液体位于投影系统和该衬底之间。
参照图1,所述照射器IL接收从辐射源SO发出的辐射束。该源SO和所述光刻设备可以是分立的实体(例如当该源为准分子激光器时)。在这种情况下,不会将该源SO看成形成光刻设备的一部分,并且通过包括例如合适的定向反射镜和/或扩束器的束传递系统BD的帮助,将所述辐射束从所述源SO传到所述照射器IL。在其它情况下,所述源SO可以是所述光刻设备的组成部分(例如当所述源SO是汞灯时)。可以将所述源SO和所述照射器IL、以及如果需要时设置的所述束传递系统BD一起称作辐射系统。
所述照射器IL可以包括用于调整所述辐射束的角强度分布的调整器AD。通常,可以对所述照射器IL的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。此外,所述照射器IL可以包括各种其它部件,例如积分器IN和聚光器CO。可以将所述照射器IL用于调节所述辐射束,以在其横截面中具有所需的均匀性和强度分布。
所述辐射束B入射到保持在支撑结构(例如,掩模台MT)上的所述图案形成装置(例如,掩模MA)上,并且通过所述图案形成装置MA形成图案。已经穿过掩模MA之后,所述辐射束B通过投影系统PL,所述投影系统将辐射束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器IF(例如,干涉仪器件、线性编码器、二维编码器或电容传感器)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述辐射束B的路径中。类似地,例如在从掩模库的机械获取之后,或在扫描期间,可以将所述第一定位装置PM和另一个位置传感器(图1中未明确示出)用于相对于所述辐射束B的路径精确地定位掩模MA。通常,可以通过形成所述第一定位装置PM的一部分的长行程模块(粗定位)和短行程模块(精定位)的帮助来实现掩模台MT的移动。类似地,可以采用形成所述第二定位装置PW的一部分的长行程模块和短行程模块来实现所述衬底台WT的移动。在步进机的情况下(与扫描器相反),掩模台MT可以仅与短行程致动器相连,或可以是固定的。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准掩模MA和衬底W。尽管所示的衬底对准标记占据了专用目标部分,但是它们可以位于目标部分C之间的空间(这些公知为划线对齐标记)中。类似地,在将多于一个的管芯设置在掩模MA上的情况下,所述掩模对准标记可以位于所述管芯之间。
可以将所示的设备用于以下模式中的至少一种中:
1.在步进模式中,在将掩模台MT和衬底台WT保持为基本静止的同时,将赋予所述辐射束的整个图案一次投影到目标部分C上(即,单一的静态曝光)。然后将所述衬底台WT沿X和/或Y方向移动,使得可以对不同目标部分C曝光。在步进模式中,曝光场的最大尺寸限制了在单一的静态曝光中成像的所述目标部分C的尺寸。
2.在扫描模式中,在对掩模台MT和衬底台WT同步地进行扫描的同时,将赋予所述辐射束B的图案投影到目标部分C上(即,单一的动态曝光)。衬底台WT相对于掩模台MT的速度和方向可以通过所述投影系统PS的(缩小)放大率和图像反转特征来确定。在扫描模式中,曝光场的最大尺寸限制了单一动态曝光中所述目标部分C的宽度(沿非扫描方向),而所述扫描运动的长度确定了所述目标部分C的高度(沿所述扫描方向)。
3.在另一个模式中,将用于保持可编程掩模的掩模台MT保持为基本静止,并且在对所述衬底台WT进行移动或扫描的同时,将赋予所述辐射束的图案投影到目标部分C上。在这种模式中,通常采用脉冲辐射源,并且在所述衬底台WT的每一次移动之后、或在扫描期间的连续辐射脉冲之间,根据需要更新所述可编程图案形成装置。这种操作模式可易于应用于利用可编程图案形成装置(例如,如上所述类型的可编程反射镜阵列)的无掩模光刻术中。
也可以附加地或可选地采用上述使用模式的组合和/或变体或完全不同的使用模式。
如图2所示,光刻设备LA形成光刻单元LC的一部分(有时也称为光刻元或簇),光刻单元LC还包括用以在衬底上执行曝光前或曝光后处理的设备。通常,这些包括用以沉积抗蚀剂层的旋涂器SC、用以显影曝光后的抗蚀剂的显影器DE、激冷板CH和烘焙板BK。衬底处理器或机器人RO从输入/输出口I/O1,I/O2拾取衬底,在不同的处理设备之间移动衬底,并且将衬底传送到光刻设备的进料台LB。这些装置通常统称为轨道,受轨道控制单元TCU控制,而轨道控制单元本身由管理控制系统SCS控制,管理控制系统SCS还通过光刻控制单元LACU控制光刻设备。因而,可以运行不同的设备以最大化产量和加工效率。
为了由光刻设备曝光的衬底被正确地并且一致地曝光,期望检查曝光后的衬底以便测量例如连续的层之间的重叠误差、线厚度、临界尺寸(CD)等性质。如果检测到误差,可以对连续衬底的曝光进行调整(尤其是如果检验能够即刻完成或足够迅速到使同一批次的其他衬底仍处于将被曝光状态时)。已经曝光过的衬底也可能被剥离并被重新加工(以提高产率),或被遗弃,由此避免在已知存在缺陷的衬底上进行曝光。在仅仅衬底的一些目标部分存在缺陷的情况下,可以仅对完好的那些目标部分进行进一步曝光。
检查设备被用来确定衬底的性质,并且具体地,被用来确定不同的衬底的性质或相同的衬底的不同层的性质如何从一层到一层进行变化。检验设备可以被集成到光刻设备LA或光刻单元LC中,或可以是独立的装置。为了能进行最迅速地测量,需要检验设备在曝光后立即测量在经过曝光的抗蚀剂层上的性质。然而,抗蚀剂中的潜影具有很低的对比度(在经过辐射曝光的抗蚀剂部分和没有经过辐射曝光的抗蚀剂部分之间仅有很小的折射率差),且并非所有的检验设备都具有足够的灵敏度、以用于潜影的有效测量。因此,测量可以在曝光后的烘焙步骤(PEB)之后进行,所述曝光后的烘焙步骤通常是在经过曝光的衬底上进行的第一步骤,且增加了抗蚀剂的经过曝光和未经曝光的部分之间的对比度。在该阶段,抗蚀剂中的图像可以被称为半潜在的。也能够在抗蚀剂的已曝光部分或者未曝光部分已经被去除的点上,或者在诸如刻蚀等图案转移步骤之后,对经过显影的抗蚀剂图像进行测量。后一种可能性限制了有缺陷的衬底进行重新加工的可能,但是仍旧可以提供有用的信息。
图3示出散射仪,其可以用在本发明的一个实施例中。其包括宽带(白光)辐射投影器2,投影器2将辐射投影到衬底W上。反射的辐射通过光谱仪检测器4,光谱仪检测器测量镜像反射的辐射的光谱10(强度作为波长的函数)。从这个数据,引发检测到的光谱的结构或轮廓可以通过处理单元PU进行重构。在现有技术的散射仪中,这可以通过严格耦合波分析(RCWA)和非线性回归或者通过与如图3的下面所示的模拟光谱的库的对比来完成。在根据本发明的散射仪中,使用矢量积分方程。通常,对于重构,结构的一般形式是已知的,并且部分参数由形成结构的工艺的已知知识来设定,仅留下一些或少数结构参数由散射测量数据确定。这种散射仪可以被配置成为正入射散射仪或斜入射散射仪。
图4中示出可以用于根据本发明的一个实施的另一散射仪。在这种装置中,由辐射源2发射的辐射使用透镜系统12通过干涉滤光片13和偏振片17聚焦,由部分反射表面16反射并经由具有高数值孔径(NA)(优选为0.9或更优选为至少0.95)的显微物镜15被聚焦到衬底W上。浸没散射仪甚至可以具有数值孔径大于1的透镜。随后被反射的辐射透射通过部分反射表面16进入检测器18以便检测散射光谱。检测器可以位于背投影光瞳平面11中,其位于透镜系统15的焦距上,然而,光瞳平面可以替代地用辅助光学元件(未示出)重新成像到检测器上。光瞳平面是辐射在其中的径向位置限定入射角并且角位置限定辐射的方位角的平面。检测器优选为二维检测器,使得可以测量衬底目标30的二维角散射光谱。检测器18可以是例如CCD或CMOS传感器的阵列,并且可以使用例如40毫秒每帧的积分时间。
参考束通常例如被用于测量入射辐射的强度。为了进行测量,当辐射束入射到分束器16上时,辐射束的一部分透射通过分束器作为参考束,朝向参考反射镜14。随后参考束被投影到相同的检测器18的不同部分。
一组干涉滤光片13可用于选择在所谓的405-790nm甚至更短的(例如200-300nm)范围内的感兴趣的波长。干涉滤光片可以是可调的,而不包括一组不同的滤光片。可以代替干涉滤光片使用光栅。
检测器18可以测量单波长(或窄的波长范围)下的散射光的强度,分别在多个波长下的强度或者在波长范围上的积分强度。此外,检测器可以单独地测量横向磁偏振光和横向电偏振光的强度和/或横向磁偏振光和横向电偏振光之间的相差。
使用宽带光源(即,具有宽的光频率范围或光波长的光源(因而是彩色的))是可能的,这种光源给出大的集光率,这允许多个波长的混合。优选地,宽带中的多个波长每一个具有Δλ的带宽和至少2Δλ的间隔(即带宽的两倍)。几个辐射的“源”可以是已经使用光纤束分离开的扩展辐射源的不同部分。在这种方法中,可以平行地测量多个波长处的角分辨散射光谱。可以测量比二维(2D)光谱包含更多信息的三维(3D)光谱(波长和两个不同的角度)。这使得允许测量更多的信息,提高测量工艺的鲁棒性。在EP1,628,164A中详细地描述了这方面的内容,这里通过参考全文并入。
衬底W上的目标30可以是光栅,其被印刷使得在显影后,由实心抗蚀剂线形成栅条(bar)。替换地,这些栅条可以被蚀刻到衬底中。该图案对光刻投影设备中的色差敏感,尤其是投影系统PL中的色差敏感,并且照射对称和这种像差的存在将在印刷的光栅中显示出变化。因此,印刷的光栅的散射测量数据用于重构光栅。光栅的参数,例如线宽和形状,可以基于印刷步骤和/或其他散射测量工艺的常识输入到由处理单元PU执行的重构工艺中。
如所述,目标位于衬底的表面上。该目标将通常形成为光栅中一系列线的形状或二维阵列中的基本上矩形结构。严格的光学衍射理论在量测中的目的在于有效地计算由目标反射的衍射光谱。换句话说,获得目标形状信息用于CD(临界尺寸)一致性和重叠量测。重叠量测是一种测量系统,其中测量两个目标的重叠、以便确定衬底上的两个层是否对准。临界尺寸(CD)一致性仅是光栅上光谱的一致性的测量,以确定光刻设备的曝光系统是如何工作的。具体地,CD或临界尺寸是被“写入”到衬底上的物体的宽度(例如图5中示出的目标的宽度)并且是光刻设备物理上能够写入到衬底上的极限。
通常用来测量一维周期性结构的目标形状(也称为标记形状)的方法如下,参照图5:估计目标形状。估计的形状给出不同的参数,例如α(0)、β(0)、χ(0)等。这些参数的每一个可以是例如每个侧壁的角度、目标的顶部的高度、目标的顶部处的宽度以及目标底部处的宽度,等等。
通常,在现有技术的装置中,严格光学衍射方法,例如严格耦合波分析(RCWA),被用于计算散射性质,例如估计的目标形状的估计的衍射图案或模型衍射图案。其他的电磁散射性质,例如估计的反射或透射系数或模型反射或透射系数,可以代替估计的衍射图案或模拟衍射图案使用或者用于获得估计的衍射图案或模型衍射图案。
然后,通过用辐射束照射衬底上的目标、检测衍射束(衍射束的图案将依赖于目标的性质),测量衬底上的实际目标的衍射图案。该测量的衍射图案和模型衍射图案发送给计算系统,例如计算机。
然后,测量的衍射图案和模型衍射图案进行对比,并且所有差异被提供到“评价函数(merit function)”计算。
使用使特定目标参数的敏感性与衍射图案的形状相关的评价函数,估计新的形状参数。这可以给出较靠近图5的底部形状的形状,具有例如α(0)、β(1)、χ(1)等新的参数。这些可以迭代地反馈回到步骤1,并且从步骤1到5迭代直到得到所需的精确度,由此来确定近似物体结构。
这种迭代过程的计算时间主要由向前衍射模型确定,即使用严格光学衍射理论从估计的目标形状计算估计的模型衍射图案。
在步骤2,可以计算不同的估计的目标形状的多个模型衍射图案并且存储在库中。随后,在步骤4,将测量的衍射图案与库中由步骤2得到的模型掩模图案进行对比。如果发现匹配,则用于产生匹配库图案的估计的目标形状可以被确定为近似物体结构。因此,如果使用库并且发现匹配,可以不需要迭代。替换地,库搜索可以用于确定一组形状参阵列,随后通过使用评价函数一次或更多次迭代、以确定更精确的形状参阵列,以便确定近似物体结构。
为了二维周期性结构的临界尺寸重构,严格耦合波分析(RCWA)被普遍用于向前衍射模型,同时还已经报道了体积积分方法(VIM)、有限差分时域(FDTD)以及有限元方法(FEM)。
在严格耦合波分析(RCWA)中,光谱离散化方案被使用。为了提高这种光谱离散化的收敛,应用所谓的李氏(Li)规则[3、4]。替换地,可以使用正矢量场体系[6],以提高光谱离散化的收敛[7、8]。
严格耦合波分析(RCWA)的主要问题之一在于其需要大量的中央处理器(CPU)时间和二维周期性结构的存储用量,因为一系列的本征值/本征矢量问题需要解决并连接。对于有限差分时域和有限元方法,通常中央处理器时间也太长。
已有的体积积分方法(例如在[2],美国专利6,867,866B1和美国专利7,038,850B2中公开的,这里通过参考将两者全文并入)是基于在网格细化方面显示慢的收敛性的全空间离散化方法,或基于在增多数量的谐波方面显示差的收敛性的光谱离散化方法。作为替换,已经报道一种并入了用以改进收敛性的启发式方法的光谱离散化方法[2]。
与严格耦合波分析(RCWA)相比,必须求解体积积分方法的线性系统较大,但是如果在迭代方法中求解体积积分方法,仅需要矩阵矢量乘积以及几个矢量的存储。因此,通常存储用量的使用比严格耦合波分析(RCWA)少得多。潜在的瓶颈是矩阵矢量乘积本身的速度。如果李氏规则用于体积积分方法,由于存在几个逆子矩阵,则矩阵矢量乘积将慢得多。替换地,可以忽视李氏规则,并且可以使用快速傅里叶变换以达到快速的矩阵矢量乘积,但是差的收敛性的问题仍存在。
本发明涉及一种改进的体积积分方法(VIM)的实施例。对抗蚀剂光栅的实际二维周期性临界尺寸(CD)重构已经表明,使用本发明的一个实施例比严格耦合波分析(RCWA)快10到100倍之间,同时存储用量比严格耦合波分析少10到100倍。在详细描述本发明之前,参照图6和7给出结果,图6和7示出由本发明带来的速度的提高。
图6示出根据常规的严格耦合波分析602和根据本发明的一个实施例的体积积分方法(VIM)604的精确度随处理时间变化的曲线。图6给出抗蚀剂结构的第一级反射系数的模型的结果。竖直轴是相对误差,RE,由|Rp-Rp *|/|Rp *|给出,其中Rp是平行极化(其中电场平行于入射平面)的反射系数,而Rp *是具有足够模态(mode)以实现5位精确度的严格耦合波分析的收敛解。水平轴是中央处理器(CPU)时间t(单位秒),其是对应于体积积分公式的线性系统的一次求解的时间。严格耦合波分析(RCWA)的结果曲线602显示中央处理器时间大于由曲线604给出的根据本发明一个实施例的体积积分方法的结果。例如,如箭头606所示的,相对误差为10-2处,本发明的该实施例提供的中央处理器时间具有20倍的改善。因此,图6示出在相对误差(或精确度)的整个范围上,本发明会导致计算一个解的中央处理器时间缩短。中央处理器时间的缩短对于本发明的实际应用非常重要。通常,目标是在大约一秒内通常完成14000次求解。一秒是目标,因为一秒是用以在生产环境中在晶片上执行连续的散射测量的时间。通过在如此短的时间内完成计算,可以实现实时分析而不用减慢晶片生产过程。数值14000来自180个散射角乘以在将要变化的模型中参数的数量,乘以入射波的2个独立的偏振,乘以用于目标的真实形状的估计的6个非线性解。图6中的数据来自于与用于得到在下文中讨论的图21和22中给出的数据相同的数据组。
图7示出与在图6中示出的数据相类似的数据的曲线,但是该曲线是有关模型化硅结构和零级反射系数。使用相同的轴,但是竖直的相对误差轴覆盖较窄的范围,水平的时间轴覆盖较宽的范围。曲线702是严格耦合波分析(RCWA)结果,而曲线704是使用根据本发明的一个实施例的体积积分方法的结果。再次地,由本发明提供的结果比严格耦合波分析提供20倍的改进,如箭头706所示,对于10-2的相对误差。
图8示意地示出根据本发明的一个实施例进行重构的散射几何结构。衬底802是沿Z方向分层的介质的较低部分。其他层804和806被示出。在x和y上是周期性的二维光栅808如图所示在分层介质的顶部。810示出x、y和z轴。入射场812与结构802到808相互作用并其被散射,得到反射场814。因而,结构沿至少一个方向x、y是周期性的,并且包括不同性质的材料,以便引起电磁场Etot的不连续,电磁场包括在不同材料的材料边界处入射电磁场分量Einc和散射电磁场分量Es的总和。
图9示出背景的结构,并示意地示出可以用来计算入射场与分层的介质的相互作用的格林函数。分层的介质802到806与图8中一样地标记。在入射场812中,也用810示出x、y和z。还示出直接地反射的场902。点源(x’,y’,z’)904表示与背景相互作用的格林函数,其产生场906。在这种情形中,因为点源904位于顶层806之上,仅有一个来自806与周围介质的顶部界面的背景反射908。如果点源在分层介质内,则将存在沿向上和向下方向(未示出)的背景反射。
将要求解的体积积分方程为
E inc ( x , y , z ) = E tot ( x , y , z ) - ∫ ∫ ∫ G ‾ ‾ ( x , x ′ , y , y ′ , z , z ′ ) J c ( x ′ , y ′ , z ′ ) dx ′ dy ′ dz ′
Jc(x′,y′,z′)=χ(x′,y′,z′)Etot(x′,y′,z′)
在该方程式中,入射场Einc是已知的入射角、极化以及振幅的函数,Etot是未知的且是本方法要计算求解的总的电场,Jc是对比电流密度(contrast current density),是格林函数(3x3矩阵),χ是由(εr(x,y,z,)/εr,bac(z)-1)给出的对比函数(contrast function),其中εr是结构的相对介电常数,εr,bac是背景介质的相对介电常数。χ在光栅外为零。
对于包括802和806的分层介质,格林函数是已知的,并且是可计算的。格林函数显示卷积和/或在xy平面内的模态分解(m1,m2),并且在中沿z轴的主要计算任务是卷积。
对于离散化,总的电场在xy平面内以布洛赫/弗洛凯模式展开。乘以χ变成:(a)在xy平面内的离散的卷积(2维快速傅里叶变换)(b)z的乘积。在xy平面内的格林函数相互作用是每个模态的相互作用。z的格林函数相互作用是可以用具有复杂度O(NlogN)的一维(1D)快速傅里叶变换执行的卷积。
在xy内的模态的数量为M1M2,并且在z内的样品的数量是N。
有效的矩阵矢量乘积具有复杂度O(M1M2Nlog(M1M2N)),而存储复杂度为O(M1M2N)。
使用基于Krylov子空间方法(例如BiCGstab(1)(稳定双对或双共轭梯度方法))的迭代求解完成对于Ax=b的体积积分方法求解,其中通常具有如下步骤:
定义残差,其定义为rn=b-Axn
经由残差计算更新矢量vn
更新解:xn+1=xnnvn
更新残差rn+1=rnnAvn
图10是求解对应体积积分公式的线性系统的高级方法的流程图。这是通过数值求解体积积分来计算结构的电磁散射性质的方法。在最高级,第一步骤是预处理1002,包括读取输入和执行快速傅里叶变换。下一步骤是计算解1004。最后,执行后处理1006,其中计算反射系数。步骤1004包括多个步骤,其在图10中的右手侧示出。这些步骤计算入射场1008、计算格林函数1010、计算更新或修正矢量1012、更新或修正解和残差1014(例如,使用BiCGstab)以及测试是否达到收敛1016。如果没有达到收敛,控制回路返回到计算更新或修正矢量的步骤1012。
图11示出使用现有技术中已知的体积积分方法计算更新或修正矢量的步骤,与图10中的步骤1012相对应,其是通过数值求解电场E的体积积分方程式来计算结构的电磁散射性质的方法。
步骤1102是重新组织在四维(4D)阵列中的矢量。在该阵列中,第一维具有三个元素Ex、Ey和Ez。第二维具有对应m1的所有值的元素。第三维具有对应m2的所有值的元素。第四维具有对于z的每个值的元素。因此,四维阵列存储总的电场(Ex,Ey,Ez)(m2,m2,z)的光谱表示式(在xy平面中)。图11中从步骤1102向下的三个平行的虚线箭头对应三个二维阵列的处理,对于Ex、Ey和Ez的每一个分别通过步骤1104到1110对应每一层z被执行。这些步骤执行电场(Ex,Ey,Ez)(m2,m2,z)的光谱(在xy平面内)表示式与材料性质的卷积,以计算对应下面的方程式(1.3)的对比电流密度的光谱(在xy平面内)表示式。具体地,步骤1104包括取出三个二维阵列(二维是m1和m2)。在步骤1106,对三个阵列中的每一个将二维快速傅里叶变换向前计算成空间域。在步骤1108,三个阵列中的每一个乘以通过傅里叶表示的截断而被过滤的对比函数χ(x,y,z)的空间表示。在步骤1110中,用二维快速傅里叶变换完成卷积并且向后到光谱域(在xy平面),得到光谱对比电流密度在步骤1112,计算的光谱对比电流密度被代回四维阵列。
然后对每种模态(即在相同时刻,对于z上的所有样本点),执行步骤1114到1122。从旁边步骤1116向下的三个平行的虚线箭头对应计算下面的方程式(1.1)中的积分项,其是与对比电流密度的背景相互作用,其本身由与结构的总的电场的相互作用得到。这通过使用光谱域中的(相对于z方向)的乘法将与空间(相对于z方向)格林函数卷积执行。
具体地,在步骤1114,提取光谱对比电流密度作为x、y、z中每一个的三个一维阵列。在步骤1116,通过对于三个阵列中的每一个向前计算一维快速傅里叶变换到相对于z方向的光谱域来得到由此开始卷积,其中kz是相对于z方向的傅里叶变量。在步骤1118,对比电流密度的截断的傅里叶变换在光谱域(相对于z方向)乘以空间格林函数的傅里叶变换。在步骤1120,执行一维快速傅里叶变换向后到相对于z方向的空间域。在步骤1122,加上相对于z的空间域中背景反射(见图9中的908)。从格林函数中分离背景反射是常规技术,并且正如本领域技术人员认识到的,可以通过加上一级投影执行该步骤。因为处理每个模态,随后对总电场的被计算的更新或修正矢量(Ex,Ey,Ez)(m2,m2,z)被代回到步骤1124中的四维阵列中。
下一步骤是重新组织矢量1126中的四维阵列,其与步骤1102“重新组织四维阵列中的矢量”不同,其差别在于步骤1126是逆运算:每一个一维指数(index)唯一地与四维指数相关。最后,在步骤1128,从输入矢量中减去来自步骤1126的矢量输出,这对应于方程式(1.1)右边的减法。输入矢量是图11中的在步骤1102输入并包含(Ex,Ey,Ez)(m2,m2,z)的矢量。
图11中描述的方法的问题在于,其导致差的收敛,正如下面参照图19说明的,其使用图10中的方法相对于严格耦合波分析(RCWA)结果显示收敛曲线。对于截断的傅里叶空间表示式,介电常数和电场中的并行跳变(concurrent iump)引起这种差的收敛。如上面讨论的,在体积积分方法中,李氏-逆规则不适于克服收敛问题,因为在体积积分方法中,由于在体积积分方法数值解中需要极大量的反运算或逆运算,因此逆规则的复杂度导致非常大的计算负担。本发明的实施例克服了由并行跳变引起的收敛问题,而不用使用如李氏逆规则所描述的逆规则。通过避免逆规则,本发明不会牺牲在体积积分方法中以迭代方式求解线性系统时所需的矩阵矢量乘积的效率。
图12示出本发明的一个实施例,其使用连续的矢量场以数值求解体积积分公式。这包括对通过基的变化而与电场E相关的矢量场F的体积积分方程式进行数值求解,以便确定矢量场F的近似解,矢量场F在一个或更多个材料边界处是连续的。矢量场F通过相对于至少一个方向x、y的至少一个有限傅里叶级数表示,并且数值求解体积积分方程式的步骤包括用卷积-基变化算子C与矢量场F的卷积来确定电场E的分量,和通过用卷积算子M卷积矢量场F来确定电流密度J。卷积-基变化算子C是可逆的,并且包括沿至少一个方向x、y的结构的材料和几何性质,并且配置成通过根据材料和几何性质执行基的变化而将矢量场F转换成电场E。卷积算子M包括沿至少一个方向x、y的结构的材料和几何性质。电流密度J可以是对比电流密度并且通过相对于至少一个方向x、y的至少一个有限傅里叶级数表示。使用诸如从包括快速傅里叶变换(FET)和数论变换(NTT)的组中选择的一个变换执行卷积。卷积-基变化算子C和卷积算子M根据有限离散卷积运算,以便产生有限的结果。
图12示出求解中间矢量场F的体积积分方法系统的步骤1202,用后处理步骤1204、通过用卷积-基变化算子卷积矢量场F的近似解而获得总电场E。使用诸如从包括快速傅里叶变换(FET)和数论变换(NTT)的组中选择的一个变换执行卷积。图12的右侧还示出执行有效矩阵矢量乘积1206到1216、以迭代地求解体积积分方法系统的示意图。其从步骤1206中中间矢量场F开始。建立F的第一时间可以从零开始。在初始步骤之后,F的估计由迭代解算器和残差指导。接下来在步骤1208,经由在z方向上对每个采样点的二维快速傅里叶变换,使用卷积-基变化算子C与中间矢量场F进行卷积来计算总电场E。卷积-基变化算子C配置成将中间矢量场F的基转换成总电场E的基。此外,在步骤1210,用材料卷积算子M和中间矢量场F的卷积计算对比电流密度J。对z方向的每个采样点执行步骤1210,经由二维快速傅里叶变换执行卷积。在步骤1212,计算格林函数G和对比电流密度J之间的卷积和一级投影而得出散射电场Es。使用例如从包括快速傅里叶变换(FET)和数论变换(NTT)的组中选择的一个变换执行卷积。运算1214从E中减去两个计算的结果Es得到步骤1216中Einc的近似值。步骤1202和1206到1216对应如下所示的方程式(1.4)。因为步骤1206到1216用以得到更新或修正矢量,随后后处理步骤1204用以产生总电场E的最终值。
代替单独或分离的后处理步骤1204,所有更新或修正矢量的和可以在步骤1208记录,以便计算总电场E。然而,这种逼近增大了方法的存储要求,而与迭代步骤1206到1216相比,后处理步骤1204不花费存储或处理时间。
图13是根据本发明的一个实施例的更新矢量的计算流程图。图13的流程图对应图12的右边(步骤1206到1216)。
在步骤1302,在四维阵列中重新组织矢量。然后,对于z方向的每个采样点,执行步骤1304到1318。在步骤1304,从四维阵列中提取二维阵列。这三个二维阵列(Ft1,Ft2,Fn)(m1,m2,z)分别对应连续的矢量场F的两个切向分量Ft1,Ft2和法向分量Fn(如下面方程式(2.44)所述),每一个具有对应m1和m2的两维。因此,通过使用法向矢量场n过滤掉与至少一个材料边界相切的电磁场E的连续分量且还过滤掉与至少一个材料边界正交的电磁通量密度D的连续分量,由电磁场E的场分量和对应的电磁通量密度D的结合构造矢量场F。在步骤1306中,由(Ft1,Ft2,Fn)(m1,m2,z)表示的光谱连续矢量场的卷积在对由(Ft1,Ft2,Fn)(x,y,z)表示的三个阵列的每一个阵列进行二维快速傅里叶变换向前到空间域的步骤1306中的计算开始。在步骤1308,从步骤1306得到的傅里叶变换(Ft1,Ft2,Fn)(x,y,z)在空间域乘以空间乘法算子C(x,y,z)。在步骤1310,由步骤1308得到的乘积通过二维快速傅里叶变换向后转换入光谱域。随后,在步骤1312,将光谱总电场(Ex,Ey,Ez)代入回四维阵列。此外,复本前馈给减法运算1322,如下面所述。
在步骤1314,从步骤1306获得的傅里叶变换(Ft1,Ft2,Fn)(x,y,z)在空间域乘以乘法算子M。在步骤1314中的计算的乘积在步骤1316中通过二维快速傅里叶变换向后变换到光谱域、得到由表示的光谱对比电流密度。在步骤1318,光谱对比电流密度代入四维阵列。
为了完成已知的入射电场Einc的近似计算,通过步骤1114到1122、以与参照图11中对应地相同的编号步骤所描述的方式相同的方式对每种模态m1,m2计算格林函数与背景的相互作用。
在步骤1320,背景和光谱对比电流密度J的光谱格林函数的卷积结果被带入回四维阵列。最后,在步骤1322,从步骤1312前馈的总电场减去步骤1320的结果,完成已知的入射电场Einc的近似计算,并且最后的步骤1324重新组织矢量四维阵列。这意味着四维阵列的每个四维指数唯一地与矢量的一维指数相关。
图14示出计算机系统的示意形式,计算机系统配置有程序和数据以便执行根据本发明的实施例的方法。计算机系统包括中央处理器(CPU)1502和在执行程序期间用于存储程序指令1506和数据1508的随机(存取)存储器(RAM)1504。计算机系统还包括磁盘存储器1510,其用于在执行程序之前和之后存储程序指令和数据。
程序指令1506包括快速傅里叶变换程序1512、矩阵乘法函数1514、其他算法函数,例如加法和减法1516以及阵列组织函数1518。数据1508包括在空间积分方法系统的解的计算期间使用的四维阵列1520和二维阵列1522。用于输入和输出的其他常规计算机分量未示出。
图15示出在均匀半空间中具有椭圆形横截面的二元光栅单元的俯视图1502和侧视图1504。
图16示出在均匀半空间中具有椭圆形横截面的阶梯光栅单元的俯视图1602和侧视图1604。
图17示出通过在每个维度引入奇数1706(白色支撑集)和偶数1708(实心/阴影支撑集)投影算子而在横向平面内通过阶梯近似1704逼近或近似椭圆形1702的过程。通过将一个方向上的投影算子乘以另一方向上的投影算子,出现单独的箱的图案。这允许构造连续的函数,其在每个单独的箱的支撑集(support)上具有正确行为。
图18示出一个基准模型结构。光栅的一个单元用硅衬底1802、底部抗反射涂层(BARC)1804以及抗蚀剂光栅元件1806示出。
辐射和模型参数为:
波长:λ=500nm
节距x和y:λxλ=500nm x 500nm
覆盖区:0.15λx 0.15λ=75nm x 75nm
高度:0.436λ=218nm
填充物:抗蚀剂
背景:硅上的底部抗反射涂层(90nm)
8.13°,45°
偏振(Polarization):平行
其中,θ为相对于z轴的入射角,为入射辐射的方位角。
图19示出使用参照图11描述的方法计算的现有技术的体积积分方法系统的随严格耦合波分析计算变化的收敛结果。图11中的竖直轴是相对误差RE,由|Rp-Rp *|/|Rp *|给出,其中Rp是平行偏振的反射系数,其中电场平行于入射平面,并且Rp *是具有足够模态以实现五位数字精确度的严格耦合波分析(RCWA)的收敛解。水平轴是模态的数量NM,其是在沿一个方向的截断的傅里叶级数中的项的数目,并且在其他方向使用相同模态(mode)数量。几个曲线的每一个表示对应于在z方向的不同数量的采样点。曲线1902是2个采样点,曲线1904是4个采样点,曲线1906是8个采样点,而曲线1908是16个采样点。32、64以及128个采样点的曲线与16个采样点的曲线1908重叠。
通常,在z方向的8个或16个采样点在光刻处理结构的模拟中用于包括抗蚀剂的结构,并且使用7到9个模态,其对应从-N到+N索引的模态,其中N=3或4。对于较高反差材料,例如金属或硅,需要大量的模型以适当描述场。
图19中的所有曲线的大的相对误差使用体积积分方法示出差的收敛性,这是上面提到的介电常数和电场的并行跳变的效果。正如上面所述,在体积积分方法系统中,使用李氏的逆规则克服收敛问题是不现实的,因为大量的矩阵求逆(matrix inverses)变成计算的限制。
图20示出计时结果,图中的曲线来自图19中示出的相同的数据,但是竖直轴线是中央处理器时间t(单位秒),水平轴线是模态NM的数量。曲线2002到2014分别是z中的2、4、8、16、32、64以及128个采样点的曲线。X符号2016表示的是相同结构的严格耦合波分析(RCWA)计算的结果。
图21示出根据本发明的实施例采用与图12和13相关的以上讨论的方法获得的极大地提高的收敛性。在图21中的曲线应该与图19中的曲线对比,但是要注意的是,图21中的竖直比例尺跨过较大的范围并且显示比在图19中示出的相对误差低超过两个数量级的相对误差。曲线2102到2114分别是在z中的2、4、8、16、32、64以及128个采样点的曲线。
图22采用图12和13中讨论的上述方法、以与图20相同的轴线、但是对应根据本发明的实施例产生的数据示出计时信息。曲线2202到2214分别是沿z方向2、4、8、16、32、64以及128个采样点的曲线。X符号2216表示的是相同结构的严格耦合波分析(RCWA)计算的结果。
可以看到中央处理器时间t随模态数量NM的变化与图20中示出的类似。
因此,图21和22清楚地示出了本发明极大地改善了使用体积积分方法的数值解的收敛性。
本发明的实施例还需要比严格耦合波分析(RCWA)低得多的存储资源。严格耦合波分析(RCWA)存储要求是375*((M1 *M2)2)*精度。体积积分方法存储要求接近60*(M1 *M2 *N)*精度。此处,在x中模态的数量是M1,在y中模态的数量是M2,在z中样品的数量是N。典型的体积积分方法的工作点为N≈4*(M1,2/节距x,y)*高度。
表1示出获得的存储用量的结果。
表1.存储用量的比较
下面的条件用于产生表1中的数据:
节距x=节距y
M1=M2
高度/节距=0.436
精度=复杂度的两倍
体积积分方法:在工作点运行
表1清楚地示出对于通常的光栅来说体积积分方法比严格耦合波分析具有较低的存储要求。
下面将介绍另外的实施例。
引言
体积积分方程方法(VIM)包括一组两个方程式。第一个是积分表示式,其用入射场和对比电流密度描述总电场,其中后者与格林函数相互作用,对于如下式
此外,表示背景介质的光谱格林函数,背景介质沿z方向平面地分层,e(m1,m2,z)表示总电场E(x,y,z)的在xy平面的光谱基部中写入的光谱分量,而j(m1,m2,z)表示对比电流密度Jc(x,y,z)的也在xy平面的光谱基部中写入的光谱分量。
第二个方程式是总电场和对比电流密度之间的关系,其基本上是在构造中存在的材料限定的构成关系,由下式给出
Jc(x,y,z)=jω[ε(x,y,z)-εb(z)]E(x,y,z),(1.2)
其中Jc表示对比电流密度,ω是角频率,ε(x,y,z)是构造的介电常数,εb(z)是分层的背景的介电常数,以及E表示总电场,所有的量都写入到空间基中。在xy平面内后一个方程式到光谱基的变换是本说明书的主要重点。
直接的方法是将方程式(1.2)直接变换到光谱域,如在[1,2]中提出的那样,即
j ( m 1 , m 2 , z ) = Σ k = M 1 l M 1 h Σ l = M 2 l M 2 h χ s ( m 1 - k , m 2 - l , z ) e ( k , l , z ) , - - - ( 1.3 )
其中M1l和M2l是光谱下界或下限,M1h和M2h是光谱上界或上限,其针对E和Jc的有限傅里叶表示式而被考虑。此外,χs(k,l,z)是对比函数χ(x,y,z)相对于横向(xy)平面的傅里叶系数。然而,处理在光谱基中的场-材料相互作用时的主要数值问题之一是,可以观测到,如果乘积变量的表示式的一个或两个具有有限的(或截断的)傅里叶展开(如在数值实现的情形中),在实空间中的简单的乘积方程式并不总是由傅里叶空间中的卷积精确地复制。更具体地,已经表明,如果乘积的两个变量显示出所谓的平行互补跳变状况,则“逆规则”比作为截断的傅里叶展开式的标准卷积的“劳伦规则”具有好得多的精确度性质。李[3,4]已经详细地研究了在严格耦合波分析的情况下二维和三维场-材料相互作用的这种现象。
在体积积分方法中,这些观察结果相等地相关。由于采用了电场和对比电流密度的光谱离散化,因此面临简单的问题。虽然电场和对比函数中的跳动是同时的,但是它们不是互补的,因为相对于背景,在扰动的几何结构的支撑集(support)之外对比函数是零。因此,李氏规则的基本原理需要仔细解释。此外,体积积分方法中另一主要的问题是逆规则的效率。尽管劳伦规则由于应用快速傅里叶变换而具有低的计算复杂度,但是通常逆规则导致全矩阵矢量乘积,这严重地降低了体积积分方法的效率,正如在由Lalanne[5]提出的近似规则中观察到的[2]。因此,期望找到方法,可以保持卷积结构,而不牺牲光谱基部的精确度。为了这个目的,提出公式化并求解修改的k空间李普曼-施温格尔方程式,对于,其形式为
此外,还表示背景介质的光谱格林函数,并且算子Cε和Vε是允许经由一维和/或二维快速傅里叶变换进行有效的矩阵矢量乘积的算子。
2研究
2.1预备
总电场和对比电流密度之间的关系来自麦克斯韦尔方程式和背景构造的概念。背景的选择涉及到找到用于这种背景的格林函数的能力。因此,通常,背景是被简化的构造,例如平面地分层的介质。这里,假定沿z方向分层,并且包括整体具有恒定导磁率的材料,因此仅具有介电常数的变化。看安培-麦克斯韦尔方程式,没有主源,可以得到
▿ × H = jωD = jωϵE , - - - ( 2.1 )
其中H是磁场强度,ω是角频率,D是电通量密度,ε是介电常数,以及E是电场强度。
如果用εb表示背景的介电常数,则对比电流密度J通过方程式限定
▿ × H = jω ( ϵ - ϵ b ) E + jω ϵ b E = J + jω ϵ b E . - - - ( 2.2 )
进一步,希望将归一化的量q限定为
q = 1 jω ( ϵ b ) - 1 J = ( ϵ b ) - 1 ( ϵ - ϵ b ) E = χE , - - - ( 2.3 )
其中χ为对比函数。在这个概念下,引入下面的方程式
εr,b=(εb)-1ε=I+χ,(2.4)
D ^ = ( ϵ b ) - 1 D , - - - ( 2.5 )
q = D ^ - E , - - - ( 2.6 )
其中I是恒等算子。
2.2逆规则背后的基本原理
考虑具有周期p的一维周期函数V(x)和其相应的具有系数vn(n∈Z)的傅里叶级数。它们之间的关系由下式给出
V ( x ) = Σ n = - ∞ ∞ υ n exp ( - j 2 πnx p ) , - - - ( 2.7 )
υ n = 1 p ∫ - p / 2 p / 2 V ( x ) exp ( j 2 πnx p ) dx . - - - ( 2.8 )
进一步,引入也具有周期p的一维周期函数K(x)和其相应的傅里叶系数kn
感兴趣的地方在于,近似或逼近傅里叶系数kn和vn的乘积K(x)V(x)。如果K(x)和V(x)是连续的周期函数,则由傅里叶理论得到
K ( x ) V ( x ) = Σ n = - ∞ ∞ c n exp ( - j 2 πnx p ) , - - - ( 2.9 )
其中
c n = Σ l = - ∞ ∞ k n - υ l l , - - - ( 2.10 )
其中由于K和V的连续性,级数依范数收敛(converges in norm)。这个规则是已知的劳伦规则或卷积规则。此外,如果关心在有限(或截断)傅里叶级数(如全部以数值实现)中的K(x)V(x)的近似或逼近,其通过~表示,例如
V ( x ) ≈ V ~ ( x ) = Σ n = - N N υ n exp ( - j 2 πnx p ) , - - - ( 2.11 )
则如果可以在有限傅里叶级数中建立K(x)V(x)的收敛近似,则有限傅里叶级数V(x)会出现问题。因此,可以构造系数cn,使得
K ( x ) V ( x ) ≈ KV ~ ( x ) = Σ n = - N N c n exp ( - j 2 πnx p ) , - - - ( 2.12 )
其中
c n = Σ l = - N N k n - l υ l . - - - ( 2.13 )
要注意的是,的有限傅里叶级数的系数被限制为集合{-N,...,N},而的系数要求在集合{-2N,...,2N}上。在许多卷积问题中都是这样,其中V表示需要通过具有规定的卷积核K的过滤器进行转换的信号。这种有限的劳伦规则可以经由矩阵矢量乘积进行应用,其中系数kn布置在矩阵中,而系数vn被组织为体积矢量。如果vn的系数根据其指数n组织排列,则具有系数kn的矩阵是托普利茨(Toeplitz)矩阵。这种托普利茨矩阵允许经由向前和向后快速傅里叶变换(FFT)的有效矩阵矢量乘积。
李氏的文章[3,4]表明,如果函数V(x)和/或函数K(x)在任何情况下是连续的,则可以应用在方程式(2.13)中的有限劳伦规则。此外,如果V(x)周期地在有限数量的点处是不连续的,而K(x)在这些点的附近是连续的,则也可以应用有限劳伦规则。在这种情形中,可以认为,V(x)和K(x)是可傅里叶因子分解的。然而,当存在V(x)和K(x)都是不连续的点,即函数V(x)和K(x)具有所谓的并行跳动,则有限劳伦规则具有差的近似或逼近性质。
对于一种特定的情形,李氏已经指出存在另一种规则,即已知的逆规则,其带来较好的近似或逼近性质。这种特定情况考虑K(x)和V(x)在相同的点处具有不连续的情形,但是它们的不连续是互补的,即在K(x)和V(x)中的不连续使得K(x)和V(x)的乘积是连续的。为了构造规则,要说明的是,函数W(x)=K(x)V(x)。随后,应用于W(x)和核1/K(x)的劳伦规则导出V(x)的系数,即
V ( x ) = 1 K ( x ) W ( x ) = Σ n = - ∞ ∞ [ Σ l = - ∞ ∞ κ n - l ω l ] exp ( - j 2 πnx p ) , - - - ( 2.14 )
其中κn是1/K(x)的傅里叶级数的系数,并且wn是W(x)的傅里叶级数的系数。由于W(x)的连续性,可以应用有限劳伦规则以得到W(x)/K(x)的有限傅里叶级数近似,这导出系数κn的托普利茨矩阵T和具有系数wn的矢量,使得
υ = υ - N . . . υ N = T ω - N . . . ω N = Tω . - - - ( 2.15 )
因此,W(x)和1/K(x)是可傅里叶因子分解的。最后,将矩阵T取逆转换成
ω=T-1υ,(2.16)
由此,命名为“逆规则”。
2.3用于具有沿二维周期性单元(unit cell)的轴线对齐的边缘的单个砖形对象(single brick)的修改的李氏规则。
考虑一种构造,其固定的z位置上的背景介质是恒定的并且对比函数是非零连续函数,例如在xy平面内周期构造的矩形单元内的矩形域上是恒定的。此外,假定矩形域具有与单元(unit cell)相同的取向。为了限定χ的支撑集(support)的形状,引入
Π Δ ( x ) = 1 x ∈ [ - Δ / 2 , Δ / 2 ] 0 elsewhere , - - - ( 2.17 )
在沿z方向的固定位置处,可以相对于背景及其反演将介电常数限定为(假定材料是各向同性,即介电常数是标量)
εr,b=1+χcΔx(x-x0)∏Δy(y-y0),(2.18)
ϵ r , b - 1 = 1 + χ ^ c Π Δx ( x - x 0 ) Π Δy ( y - y 0 ) , - - - ( 2.19 )
其中χc是连续函数或恒定的,(x0,y0)是矩形支撑集的中心位置,和
χ ^ c = - χ c 1 + χ c , - - - ( 2.20 )
其由εr,b·εr,b -1=1条件得出。
现在对于均为二维傅里叶系数的整数指数的m1∈{-M1l,...,M1h}和m2∈{-M2l,...,M2h},引入作用在任意场v(arbitrary field)(在光谱基部)上的有限傅里叶空间中的卷积算子作为
( P ~ x υ ) ( m 1 , m 2 ) = Σ m 1 ′ = - M 1 l M 1 h Π ~ Δx ( m 1 - m 1 ′ ) υ ( m 1 ′ , m 2 ) , - - - ( 2.21 )
( P ~ y υ ) ( m 1 , m 2 ) = Σ m 2 ′ = - M 2 l M 2 h Π ~ Δy ( m 2 - m 2 ′ ) υ ( m 1 , m 2 ′ ) , - - - ( 2.22 )
此外,还有
Π ~ Δx ( m 1 ) = 1 a ∫ - a / 2 a / 2 Π Δx ( x - x 0 ) exp ( - j 2 π m 1 x a ) dx , - - - ( 2.23 )
Π ~ Δy ( m 2 ) = 1 b ∫ - b / 2 b / 2 Π Δy ( y - y 0 ) exp ( - j 2 π m 2 y b ) dy , - - - ( 2.24 )
其中a和b分别是沿x和y方向的单元的尺寸,并且可以假定沿x和y方向的脉冲函数d的支撑集位于积分区间。
在空间域中,具有归一化的电通量和电场的x分量之间的下面的关系
D ^ x = [ 1 + χ c Π Δx ( x - x 0 ) Π Δy ( y - y 0 ) ] E x , - - - ( 2.25 )
,其直接地导出其光谱对应体
D ^ ~ x = ( I + χ c P ~ x P ~ y ) E ~ x , - - - ( 2.26 )
其中I表示恒等算子,Ex上的~表示有限傅里叶表示式。然而,这个公式完全忽略了介电常数函数和电场具有并行跳动的事实,这严重地降低了该近似的性能。因此,将遵循李氏推理路线或方法[3,4]以得出劳伦规则和逆规则的组合。
从下面的关系式开始
E x = [ 1 + χ ^ c Π Δx ( x - x 0 ) Π Δy ( y - y 0 ) ] D ^ x , - - - ( 2.27 )
注意到,沿x方向是连续的,因而与∏Δx的乘法可以在光谱域由与算子的乘法代替。然而,在y方向上,可以在上面的方程式中观察到并行跳动。因为脉冲函数∏Δy是空间投影算子,由于幂等性质和在y方向上仅用作常数乘法器的事实,可以反向构造,并且用∏Δy变换。对这种情形,I+A∏Δy的逆是I+B∏Δy的形式,其中B遵循代数性质
(I+B∏Δy)(I+A∏Δy)=I+(B+A+BA)∏Δy,(2.28)
由上式其遵循(B+A+BA)=0,即B=-A(I+A)-1。此外,将有限光谱表示式的空间表示式表示为并且用相同的符号表示Py。用这些符号并遵循上面的推理方法,可以得出
[ I - χ ^ c P x ( I + χ ^ c P x ) - 1 Π Δy ] E x = D ^ x . - - - ( 2.29 )
在这一点上,乘法算子∏Δy根据有限劳伦规则具有光谱对应部分,用Py表示。此外,由于Px和Py作用于不同的指数上,因此算子用Py变换。
这引出都由有限傅里叶级数表示的归一化电通量和电场之间的下面的关系
D ^ x = [ I - χ ^ c P x P y ( I + χ ^ c P x ) - 1 ] E x , - - - ( 2.30 )
D ^ y = [ I - χ ^ c P x P y ( I + χ ^ c P y ) - 1 ] E y , - - - ( 2.31 )
D ^ z = ( I + χ c P x P y ) E z , - - - ( 2.32 )
其中,由于观察到Ez在xy平面内是连续的,因此z分量跟随。对于体积积分方程式,仅关心总电场E和归一化对比电流密度q。对于后者,具有
q x = D ^ x - E x = χ c P x P y [ 1 1 + χ c ( I + χ ^ c P x ) - 1 ] E x , - - - ( 2.33 )
q y = D ^ y - E y = χ c P x P y [ 1 1 + χ c ( I + χ ^ c P y ) - 1 ] E y , - - - ( 2.34 )
q z = D ^ z - E z = χ c P x P y E z , - - - ( 2.35 )
其中,已经应用了和χc之间的关系。
为了从E得到q,在上面的矩阵矢量乘积中存在逆算子会导致整个体积积分方程式的矩阵矢量乘积的数值复杂度的显著增加。因此,非常期望在不牺牲由它们带来的提高的精确度的情况下避免这些逆。因而,提出来引入新的矢量场F,其与E具有下面的关系
E x = ( 1 + χ c ) ( I + χ ^ c P x ) F x , - - - ( 2.36 )
E y = ( 1 + χ c ) ( I + χ ^ c P y ) F y , - - - ( 2.37 )
Ez=Fz,(2.38)
其中,对于第一方程式可以沿x方向、而对于第二方程式沿y方向经由一维快速傅里叶变换进行应用。
然后,q和E之间的关系变成
q=χcPxPyF,(2.39)
其可以在xy平面内通过二维快速傅里叶变换进行应用。
现在E和F之间的关系以及q和F之间的关系都根据有限劳伦规则具有卷积算子,其使得它们的矩阵矢量乘积有效,因为它们可以通过一维和二维快速傅里叶变换(的组合)应用。因此,求解矢量场F而不是E的体积积分方程式,并且通过附加的后处理步骤,由方程式(2.36)到(2.38)得到电场E。相对于现有技术的过程,在这个过程中的改变在于,使用了两次运算或操作(即一次是E,一次对q),而不是一次运算(仅对q),以及后处理步骤。然而,在根据本发明的实施例的新的过程中这两次运算或操作比现有技术的过程中的单次具有有效得多的应用。
2.4法向矢量场公式
上面的修改的李氏规则的讨论显示,通过快速傅里叶变换的低的计算复杂度在对比函数的几何结构类型方面是相当严格的。因此,首要重要的是找到快速傅里叶变换保持为场-材料相互作用方程式的主要运算的框架结构。在文章[6]中找到合适的出发点。这里提到的这些方案中的一个是引入辅助矢量场,其在任何位置处是连续的,可能除了与介电常数函数的几何结构中的边缘和角部相对应的孤立点或线。这与前面段落中的情形类似,其中也引入了(其他的)中间连续的矢量场F,其允许与具有有限劳伦规则形式的好的收敛的卷积。然而,显著的差别在于[6]没有教导使用辅助矢量场求解线性系统,相反地,[6]教导使用E作为求解线性系统的基。
可以建立一组方程式,方程式一方面将对比电流密度J(或q)和电场E与连续矢量场F以光谱域中的卷积形式联系起来。因为F是连续的,运行于其上的卷积采用有限劳伦规则的形式,并因此使用快速傅里叶变换执行。在这种方法中,得出一组方程式,其中F是基础,对于求解系统是未知的,并且通过附加的后处理步骤得到电场和/或对比电流密度,由此可以得到想要的构造的散射性质,例如反射系数。
通过初步的讨论,F和J之间的关系式由一方面E和D的关系式以及另一方面E与F的关系得出。在[6]的说明中,这种方案将建立关系式
E=CεF,(2.40)
D=εCεF.(2.41)
矢量场F由电场的场分量和电通量密度的分量的组合构造。由材料界面处的边界条件,可以知道电场的切向分量和电通量密度的法向分量是连续的,其中可能除了与材料界面的角部和边缘相对应的点和线。为了过滤掉这些E和D的连续分量,引入实值法向矢量场。该法向矢量场n(x,y,z)具有下列性质:
●其指向与每个材料界面正交。
●其在空间中的每个点处具有单位长度。
除了这些之外,没有其他的限制条件限定该矢量场,但是其便于包括其他的性质,例如连续性的一些形式。
矢量场n可以用于过滤掉得出连续的标量场Dn=(n,D)的电通量密度的连续分量,其中(.,.)表示标量乘积。此外,由法向矢量场,可以发现两个所谓的切向矢量场t1和t2,其与n一起在三维空间中的每个点处形成正交的基。例如,让nx和ny为法向矢量场的x和y分量,t1可以构建为
t1=-nyux+nxuy,                                               (2.42)
其中ux和uy分别表示沿x和y方向的单位矢量。最后,通过n和t1之间的叉积产生矢量场t2
切向矢量场可以用于将电场的连续分量开方为
ET=(E,t1)t1+(E,t2)t2.                                     (2.43)
按照[6],可以将连续的矢量场F构造为
F = E T + D n n = ( E , t 1 ) t 1 + ( E , t 2 ) t 2 + ( n , D ) n = F t 1 t 1 + F t 2 t 2 + F n n . - - - ( 2.44 )
法向矢量场n将算子Pn的定义限定为
Pnv=(n,v)n,                                               (2.45)
其中v是任意三维矢量场。由法向矢量场n的性质,可以观察到,Pn是投影算子,因而是幂等的,即PnPn=Pn。类似的,可以引入算子PT
PTv=(v,t1)t1+(v,t2)t2,                                    (2.46)
其也是投影算子。下面将示出如何能够使用这些算子Pn和PT来构造从矢量场F的方程式(2.40)中的算子。
一方面从电场和电通量密度之间的空间域关系着手,另一方面从矢量场F的限定着手。得到
D=MεE,                                                     (2.47)
E = M ϵ - 1 D , - - - ( 2.48 )
F=PTE+PnD,                                                  (2.49)
其中Mε是乘以通常是各向异性的介电常数张量ε的乘法算子,是乘以介电常数函数的点态(point-wise)逆的乘法算子。
首先,建立E和F之间的关系。因为已有
E=PnE+PTE,                                                  (2.50)
PTF=PTE,                                                    (2.51)
PnF=PnMεE=(PnMεPn)E+(PnMεPT)E=(PnMεPn)E+(PnMεPT)F.    (2.52)
在重新整理后一个方程式并采用Pn的幂等性之后,得到
(PnMεPn)PnE=(Pn-PnMεPT)F.                                  (2.53)
从上面的方程式可以看到,方程式的两边属于算子Pn的范围。投影算子的一个重要的性质是,由于幂等性,投影算子与在其范围上的恒等算子唯一地相同。因此,如果将左边限定为从Pn的范围到Pn的范围的绘图(这是可能的,因为Pn出现在作用于E的算子的两边),就可以将左边的算子转换成
PnE=(PnMεPn)-1(Pn-PnMεPT)F,                          (2.54)
其中(PnMεPn)-1是在的Pn范围上的(PnMεPn)的逆,即(PnMεPn)-1(PnMεPn)=Pn。逆算子的存在将在下面建立。
因此,方程式(2.40)中的线性算子Cε由下式给出
E=CεF=[PT+(PnMεPn)-1(Pn-PnMεPT)]F.                  (2.55)
以类似的方式,可以得出电通量密度和矢量场F之间的关系:
D=PnD+PTD=PnF+PTD,                                    (2.56)
PTD=PTMεE=PTMεPTE+PTMεPnE=PTMεPTF+PTMεPnE.       (2.57)
在第二个方程式中,可以采用方程式(2.54)消除E,即
PTD=PTMεPTF+PTMε(PnMεPn)-1(Pn-PnMεPT)F.             (2.58)
因此,
D=εCεF=[Pn+PTMεPT+PTMε(PnMεPn)-1(Pn-PnMεPT)]F    (2.59)
=[Pn+PTMεCε]F.
在这一点上,重要的是认识到,所有具有的算子,可能除了(PnMεPn)-1,即Pn、PT和Mε在空间域中是点态乘法算子。此外,介电常数曲线中的跳动没有在与法向矢量场中发生(可能)跳动的相同位置处发生。然而,在包含多于一个投影算子(即Pn和/或PT)或多于一个材料算子(例如Cε和Mε)的乘积中,可能将发生并行跳动。此外,投影算子的空间幂等性质没有保持在有限傅里叶级数展开中。因而,在傅里叶域中构造算子之前,在空间域中得出所有幂等性质。完成这个的最简单的方法是认识到每个乘法算子的组合(乘积)还是乘法算子。因而,目标是为总的算子Cε和算子εCε中的每一项构造单一乘法算子。一旦完成,空间域中的每一个乘法算子变成光谱域中的卷积算子,其可以通过有限劳伦规则来应用,因为它们全部都在连续矢量场F上操作。
2.4.1算子(PnMεPn)-1
现在将看算子(PnMεPn)-1。后面将显示其是点态乘法算子并且将得出其表示式。
从投影算子Pn的定义,可以容易地得到
PnMεPnυ=n(n,εn)(n,υ)=(n,εn)Pnυ=Pn(n,εn)υ,(2.60)
由于(n,εn)是标量场的原因,其由于介电常数函数的能量性质而永不为零,即对于所有可能的电场E,(E,ε*E*)是功率密度。因此,标量场的逆由(n,εn)-1=1/(n,εn)=ξ简单地给出。因此,
(PnMεPn)-1=ξPn=MξPn=PnMξ=PnMξPn.                (2.61)
2.4.2各向同性情况下的法向矢量场公式
在各向同性介质的情形中,算子Mε是标量乘法,因而一方面的算子Pn和PT和另一方面的算子Mε变换。而且,算子(PnMεPn)-1=PnM1/ε=M1/εPn,其中M1/ε是乘以ε的(标量)逆的乘法。从这些结果知道,算子Cε变成
Cε=PT+PnM1/ε.                                         (2.62)
此外,算子εCε变成
εCε=PTMε+Pn.                                         (2.63)
2.4.3二元光栅的法向矢量场公式
二元光栅是在其整个高度上具有均匀的横截面的光栅。假定z是介质分层的方向,并且光栅被限定在区间z∈[zl,zh]内,则在后一区间内光栅结构的介电常数函数仅为x和y的函数,见图15。如果使用z的空间离散或专用于区间z∈[zl,zh]的光谱,则可以选择沿z方向的平行于单位矢量的切向矢量场中的一个。这样,法向矢量场和第二切向矢量场基本上是二维矢量场,即它们垂直于z方向并且仅依赖于x和y坐标。一旦法向矢量场已经确定,第二切向矢量场由法向矢量场和z方向上的单位矢量之间的叉积得出。因而,产生法向和切向矢量场的问题被削减成仅产生法向矢量场。进一步,场-材料相互作用的计算采用在xy平面内的二维卷积的形式,其相对于z方向被解耦。法向矢量场可以以多种方法产生。在[7,8]中给出在严格耦合波分析情形的提议。
2.4.4示例:各向同性二元光栅的场-材料相互作用矩阵的系数
考虑矩形单元x∈[-a/2,a/2],y∈[-b/2,b/2]。对于区间z∈[z0,z1],介电常数函数由ε(x,y)给出。进一步,让nx(x,y)和ny(x,y)为法向矢量场的x和y分量,t1x(x,y)=-ny(x,y),t1y(x,y)=nx(x,y),以及t2=uz。对于这种情形,参照方程式(2.62),具有算子方程式
E x E y E z = C ϵ F = C xn C xt 1 0 C yn C yt 1 0 0 0 I F n F t 1 F t 2 , - - - ( 2.64 )
其中卷积算子C..的系数由下式给出
C xn ( m 1 , m 2 ) = 1 ab ∫ - a / 2 a / 2 ∫ - b / 2 b / 2 n x ( x , y ) ϵ ( x , y ) exp [ j ( 2 πm 1 x a + 2 πm 2 y b ) ] dydx , - - - ( 2.65 )
C yn ( m 1 , m 2 ) = 1 ab ∫ - a / 2 a / 2 ∫ - b / 2 b / 2 n y ( x , y ) ϵ ( x , y ) exp [ j ( 2 πm 1 x a + 2 πm 2 y b ) ] dydx , - - - ( 2.66 )
C xt 1 ( m 1 , m 2 ) = 1 ab ∫ - a / 2 a / 2 ∫ - b / 2 b / 2 - n y ( x , y ) exp [ j ( 2 πm 1 x a + 2 πm 2 y b ) ] dydx , - - - ( 2.67 )
C xt 1 ( m 1 , m 2 ) = 1 ab ∫ - a / 2 a / 2 ∫ - b / 2 b / 2 - n x ( x , y ) exp [ j ( 2 πm 1 x a + 2 πm 2 y b ) ] dydx . - - - ( 2.68 )
并且I表示恒等算子。
2.4.5阶梯光栅的法向矢量场公式
光栅结构可以通过沿分层的方向,即z方向(见图16),的阶梯近似几何地逼近或近似。这意味着,沿z方向选择一系列的分离的区间(片段),对于这些区间的每一个用与z方向无关的介电常数函数近似介电常数函数。然而,通过使用专用于每一个区间的离散化,得到一系列的二元光栅,对于二元光栅可以应用在2.4.3部分中描述的程序,即可以对每个片段生成法向矢量场,并对每个片段建立场-材料相互作用算子,它们都是二维的。
2.5保持卷积结构的修改的李氏规则和法向矢量场公式的替换
在前面部分中,已经修改所谓的k空间李普曼-施温格尔方程式以为场-材料相互作用构造一有效的矩阵矢量乘积,同时保持其在光谱基中的精确度。这是通过引入一一对应用E表示的电场的辅助矢量场F、使得当已经计算出F时以极少的附加的运算获得E来实现的。本质上,得到一组方程式,其形式为
Ei=E-GJ,               (2.69)
E=CεF,                (2.70)
J=jω(εCεb·Cε)F,(2.71)
其中Ei表示入射场,G表示分层的背景介质的格林函数的矩阵表示式,而Cε和(εCε)对应快速傅里叶变换形式的有效的矩阵矢量乘积。
在上面的情形中,F和E之间的一一对应允许紧凑和有效率的形式。然而,存在其他方法以实现高精确度和有效的矩阵矢量乘积的目标。这部分的目标在于进一步探究和证明这些替换的方法。通过删除E和辅助矢量场F之间的一一对应可以延伸已有的公式。例如,在辅助矢量场F中比在电场E中引入较多的自由度时是这种情况,这将在2.5.2部分给出。在不进一步测量的情况下,矢量场F的线性方程式的结果集合将是欠定的(underdetermined),因此F不是唯一的,这在使用迭代解算器的时候是不希望的,因为其通常将导致大量的迭代或迭代过程的崩溃。为了克服这种情形,允许量F、E和/或J之间的线性约束的附加集合。利用这种原理,得到下面一般性的修改的李普曼-施温格尔方程式的组
I - G 0 C 11 C 12 C 13 C 21 C 22 C 23 C 31 C 32 C 33 E J F = E i 0 0 0 . - - - ( 2.72 )
其中上面的矩阵方程式中的每个算子允许例如用快速傅里叶变换应用有效的矩阵矢量乘积。
2.5.1Lalanne规则
在由李氏得出对应具有二维周期性的周期结构的规则之前,Lalanne[5]提出介电常数矩阵Mε(在[5]中表示为E)和逆介电常数矩阵(Minv(ε))-1(在[5]中表示为P-1)的逆矩阵的加权平均值公式。对于这种方式,可以与电场E和辅助矢量场F的组合结合进行。在面对(Minv(ε))-1和E之间的乘积的点处引入后面的矢量场,以实现快速矩阵矢量乘积、从而计算对比电流密度J或其成比例的对应部(counterpart)q。
J=jω{[αMε+(1-α)(Minv(ε))-1]E-εbE}=jω[α(MεbI)E+(1-α)F],(2.73)
其中F满足
Minv(ε)F=E.(2.74)
Mε和Minv(ε)都通过快速傅里叶变换具有有效的矩阵矢量乘积实现。
方程式(2.73)和(2.74)的结果可以作为方程式(2.72)形式的较大线性系统执行。这里,包括算子I和G的第一组方程式保持不变。第二组方程式将一方面得出J与E以及另一方面得出J与F之间的关系(2.73),即C11=jωα(MεbI),C12=-1以及C13=jω(1-α)I。然后,第三组方程式将E和F联系在方程式(2.74)中,即C21=-I,C22=0,以及C23=Minv(ε)。最后,最后一组包括C31、C32、C33的方程式,和右手边的最后一行将空缺。通过包括数值求解与电磁场E相关但不同的矢量场F的体积积分方程式可以将此应用于计算结构的电磁散射性质,以便确定矢量场F的近似解。这里,矢量场F与电场E通过可逆算子Minv(ε)相关联。
2.5.2连接的(Concatenated)李氏规则
对于交叉的光栅,李氏已经表明,当相应的相互作用的矩阵包括(分块)托普利茨和逆(分块)托普利茨矩阵之和时,在光谱基中较好地俘获场-材料相互作用。(分块)托普利茨矩阵允许快速傅里叶变换形式的有效的矩阵矢量乘积,但是逆托普利茨矩阵不具有托普利茨形式。因此,通过延伸辅助矢量场的方法,可以引入附加的辅助场与约束条件一起得出也考虑逆(分块)托普利茨矩阵的逆的有效矩阵矢量乘积。
考虑二元光栅的各向同性介质的情形。此时,李氏规则仅需要对横向平面,即xy平面内的场分量作出修改。这种情形类似于单个矩形块的情形,但是此时从可能邻近或可能不邻近的多个块建立介电常数函数。具体地,将介电常数函数和相应的逆介电常数函数写成
ϵ = ϵ b [ 1 + Σ i = 1 I Σ j = 1 J χ i , j Π i x ( x ) Π j y ( y ) ] , - - - ( 2.75 )
ϵ - 1 = ϵ b - 1 [ 1 + Σ i = 1 I Σ j = 1 J χ ^ i , j Π i x ( x ) Π j y ( y ) ] , - - - ( 2.76 )
其中∏α β是在与标号α相关的整个区间上的支撑集的沿方向β的脉冲函数。在x方向上,存在I个区间,在y方向上存在J个区间。此外,在函数的支撑集(support)上χi,j是连续标量函数,和 χ ^ i , j = - χ i , j / ( 1 + χ i , j ) .
从电场和通量的x分量的关系式Ex=ε-1Dx可以得到
E x = ϵ b - 1 [ 1 + Σ i = 1 I Σ j = 1 J χ ^ i , j Π i x ( x ) Π j y ( y ) ] D x , - - - ( 2.77 )
其中,根据李氏推理方法,在傅里叶空间中是可因子分解的,但是不是。因为函数∏α β可以解释为投影算子,所以可以采用下面的方法。
让I作为恒等算子,Ai作为用相互正交的投影算子Pi变换的一系列的有界算子,则算子具有有界的逆其中Bi=-Ai(I+Ai)-1
通过求解代数学并考虑投影算子的幂等性来验证。
用这个结果,可以用电场分量表示电通量分量为,
D x = ϵ b [ 1 - Σ i = 1 I Σ j = 1 J χ ^ i , j Π i x ( x ) Π j y ( y ) ( I + Σ k = 1 I χ ^ k , j Π k x ( x ) ) - 1 ] E x , - - - ( 2.78 )
其中已经使用Ai和Bi与Pi的交换性质。
类似地,对y分量得出
D y = ϵ b [ 1 - Σ i = 1 I Σ j = 1 J χ ^ i , j Π i x ( x ) Π j y ( y ) ( I + Σ l = 1 J χ ^ i , l Π l y ( y ) ) - 1 ] E y . - - - ( 2.79 )
现在,在已经执行了对电场分量的直接操作的逆矩阵运算之后,每个乘法算子都是傅里叶可因子分解的。由这些关系式,可以以通常的方法得到对比电流密度。
由上面的关系式,清楚地知道,沿x和y方向的每个区间产生逆算子,即I+J逆的总和。如果引入辅助变量(矢量场)到包括逆算子的中间矩阵矢量乘积,如2.3部分中单个砖形对象的情形那样,可以避免或消除这些逆的每一个。在那种方法中,在使用较多的变量的情况下,保持快速傅里叶变换形式的矩阵矢量乘积的效率。尤其地,在I和J大于1的情形中是这样,因为逆的每一个增大辅助变量的量,由此扩大总的矩阵矢量乘积的尺寸。
2.5.3减少李氏规则中的逆算子的数量
2.5.2部分的结论是,每个投影算子∏α β引入新的辅助矢量场,这使得这个过程对于要求多一些投影算子的几何结构不太有效。因此,问题出现,是否存在一种方法处理比阶梯策略最初引入的算子少的投影算子,而不牺牲这种策略的几何灵活性。
主要的工作在于将方程式(2.75)改写成包括较少的投影算子的和,即改写为
ϵ - 1 = ϵ b - 1 [ 1 + Σ i = 1 I Σ j = 1 J χ ^ i , j Π i x ( x ) Π j y ( y ) ] . - - - ( 2.80 )
由著名的“四色问题”激发灵感,其允许仅用四种不同的颜色给平的图上色,使得没有两个邻近的图的区域具有相同的颜色。在这种情形中,情况有些类似:如果它们的乘法函数跨过它们的互连边界是连续的,则具有邻近支撑集的投影算子可以仅被合并。通常,这种约束不符合几何学。因此,引入分组,使得合并不具有邻近支撑集的投影算子。这允许构造在合并的投影算子的支撑集上匹配乘法函数的连续的乘法算子。
首先在一个维度上说明这一点。将x方向上的区间给出为[0,a],并且将这个区间分成偶数个分离的区段Si i=0,...,2I,,使得这些区段的联合跨过该周期性区间[0,a],并且这些区段根据其沿该区间的位置索引,即区段Si-1在区段Si前面。随后可以写出逆介电常数函数为
ϵ - 1 = ϵ b - 1 [ 1 + Σ i = 1 2 I χ ^ i Π i ( x ) ] , - - - ( 2.81 )
其中∏i(x)的支撑集对应第i区段。
现在引入(相互正交的)奇数和偶数投影算子
Π o = Σ k = 1 I Π 2 k - 1 ( x ) , - - - ( 2.82 )
Π e = Σ k = 1 I Π 2 k ( x ) . - - - ( 2.83 )
此外,引入(标量)函数fo(x)和fe(x)。这些函数在区间[0,a]上是连续的,具有周期连续性,即fo(0)=fo(a)和fe(0)=fe(a),并且对于k=1,...,I满足
f o ( x ) = χ ^ 2 k + 1 , x ∈ S 2 k + 1 , (2.84)
f e ( x ) = χ ^ 2 k , x ∈ S 2 k ,
由于偶数和奇数投影算子不合并具有邻近支撑集的投影算子,函数fo和fe可以构造成连续的函数,例如通过在偶数和奇数投影算子的支撑集的外侧的分段上的线性插值。因此,逆介电常数函数可以写成
ϵ - 1 = ϵ b - 1 [ 1 + f o ( x ) Π o ( x ) + f e ( x ) Π e ( x ) ] . - - - ( 2.85 )
现在将这种方案延伸到两个维度,即光栅结构的横向平面。在笛卡尔积格(product grid)上沿x和y方向以每个维度有偶数个分段的形式引入(相互正交)的偶数和奇数个投影算子。此外,引入四个周期连续的标量函数到周期域[0,a]×[0,b],表示为foo(x,y)、foe(x,y)、feo(x,y)以及fee(x,y)。通过在与其相乘的投影算子的支撑集的外部的双线性插值构造这些函数。这个过程在图17中示出。
则逆介电常数函数可以改写成
ϵ - 1 = ϵ b - 1 [ 1 + f oo ( x , y ) Π o x ( x ) Π o y ( y ) + f oe ( x , y ) Π o x ( x ) Π e y ( y ) (2.86)
+ f eo ( x , y ) Π e x ( x ) Π o y ( y ) + f ee ( x , y ) Π e x ( x ) Π e y ( y ) ] ,
其表明仅涉及四个二维投影算子(颜色)。
按照在2.5.2部分中简述的方法,得到下面的李氏规则
D x =
ϵ b { 1 - [ f oo ( x , y ) Π o y ( y ) + f oe ( x , y ) Π e y ( y ) ] Π o x ( x ) [ I + f oo ( x , y ) Π o y ( y ) + f oe ( x , y ) Π e y ( y ) ] - 1 - - - ( 2.87 )
- [ f eo ( x , y ) Π o y ( y ) + f ee ( x , y ) Π e y ( y ) ] Π e x ( x ) [ I + f eo ( x , y ) Π o y ( y ) + f ee ( x , y ) Π e y ( y ) ] - 1 } E x ,
以及Dy和Ey之间的关系的类似关系式。
为了最后确定这个过程,引入具有x分量的两个辅助场Fe和Fo,其满足
F x o ( x , y ) = [ I + f oo ( x , y ) Π o y ( y ) + f oe ( x , y ) Π e y ( y ) ] E x ( x , y ) , - - - ( 2.88 )
F x e ( x , y ) = [ I + f eo ( x , y ) Π o y ( y ) + f ee ( x , y ) Π e y ( y ) ] E x ( x , y ) , - - - ( 2.89 )
以及y分量的类似关系式。在这些条件下,最后获得
D x = ϵ b { E x - [ f oo ( x , y ) Π o y ( y ) + f oe ( x , y ) Π e y ( y ) ] Π o y ( x ) F x o (2.90)
- [ f eo ( x , y ) Π o y ( y ) + f ee ( x , y ) Π e y ( y ) ] Π e x ( x ) F x e } ,
以及y分量的类似关系式。注意的是,连接F和E的算子具有二维特性,与前面部分中的逆算子相反。但是,此时所有算子是乘法算子,其具有经由二维(或重复的一维)快速傅里叶变换的有效的矩阵矢量乘积实现。
虽然在本文中详述了光刻设备用在制造ICs(集成电路),但是应该理解到这里所述的光刻设备可以有制造具有微米尺度、甚至纳米尺度的特征的部件的其他应用,例如制造集成光学系统、磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCDs)、薄膜磁头等。本领域技术人员应该认识到,在这种替代应用的情况中,可以将这里使用的任何术语“晶片”或“管芯”分别认为是与更上位的术语“衬底”或“目标部分”同义。这里所指的衬底可以在曝光之前或之后进行处理,例如在轨道(一种典型地将抗蚀剂层涂到衬底上,并且对已曝光的抗蚀剂进行显影的工具)、量测工具和/或检验工具中。在可应用的情况下,可以将所述公开内容应用于这种和其他衬底处理工具中。另外,所述衬底可以处理一次以上,例如为产生多层IC,使得这里使用的所述术语“衬底”也可以表示已经包含多个已处理层的衬底。
根据以上所述本发明的实施例的方法可以并入到用于由被检测的通过辐射照射物体产生的电磁散射性质(例如衍射图案)重构物体的近似结构(不限于一维周期性)的前向衍射模型,参照图5所示。上面参照图3和4描述的处理单元PU可以配置成使用这种方法重构物体的近似结构。
虽然上面详述了本发明的实施例在光刻设备的应用,应该注意到,本发明可以有其它的应用,例如压印光刻,并且只要情况允许,不局限于光学光刻。在压印光刻中,图案形成装置中的拓扑限定了在衬底上产生的图案。可以将所述图案形成装置的拓扑印刷到提供给所述衬底的抗蚀剂层中,在其上通过施加电磁辐射、热、压力或其组合来使所述抗蚀剂固化。在所述抗蚀剂固化之后,所述图案形成装置从所述抗蚀剂上移走,并在抗蚀剂中留下图案。
这里使用的术语“辐射”和“束”包含全部类型的电磁辐射,包括:紫外(UV)辐射(例如具有约365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如具有5-20nm范围的波长),以及粒子束,例如离子束或电子束。
在允许的情况下术语“透镜”可以表示不同类型的光学部件中的任何一种或其组合,包括折射式的、反射式的、磁性的、电磁的以及静电的光学部件。
术语“电磁”包括电和磁。
术语“电磁散射性质”包括反射和透射系数并且散射测量参数包括光谱(例如强度作为波长的函数)、衍射图案(强度作为位置/角度的函数)以及横向磁偏正和横向电偏振光的相对强度和/或横向磁偏振和横向电偏振光之间的相差。衍射图案本身可以例如使用反射系数计算。
因此,虽然本发明的实施例描述了反射散射的情形,但是本发明还可以应用于透射散射。
尽管以上已经描述了本发明的具体实施例,但应该认识到,本发明可以以与上述不同的方式来实现。例如,本发明可以采用包含用于描述一种如上面公开的方法的一个或更多个机器可读指令序列的计算机程序的形式,或具有存储其中的所述计算机程序的数据存储介质(例如半导体存储器、磁盘或光盘)的形式。
所有下面的参考文献通过参考全文并入。
[1]M.C.van Beurden and B.P.de Hon.Electromagnetic modelling ofantennas mounted on a bandgap slab-discretisation issues and domain andboundary integral equations.In R.D.Graglia,editor,Proceedings of theInternational Conference on Electromagnetics in Advanced ApplicationsICEAA′03,pages 637-640.Politecnico di Torino,2003.
[2]Yia-Chung Chang,Guangwei Li,Hanyou Chu,and Jon Opsal.Efficientfinite-element,Green’s function approach for critical-dimension metrology ofthree-dimensional gratings on multilayer films.J.Opt.Soc.Am.A,23(3):638-6454,March 2006.
[3]Lifeng Li.Use of Fourier series in the analysis of discontinuous periodicstructures.J.Opt.Soc.Am.A,13(9):1870-1876,September 1996.
[4]Lifeng Li.New formulation of the Fourier modal method for crossedsurface-relief gratings.J.Opt.Soc.Am.A,14(10):2758-2767,October 1997.
[5]Philippe Lalanne.Improved forrnulation of the coupled-wave method fortwo-dimensional gratings.J.Opt.Soc.Am.A,14(7):1592-1598,July 1997.
[6]Evgeny Popov and Michel NeVière.Maxwell equations in Fourier space:fast-converging formulation for diffraction by arbitrary shaped,periodic,anisotropic media.J.Opt.Soc.Am.A,18(11):2886-2894,November 2001.
[7]Thomas Schuster,Johannes Ruoff,Norbert Kerwien,Stephan Rafler,andWolfgang Osten.Normal vector method for convergence improvement usingthe RCWA for crossed gratings.J.Opt.Soc.Am.A,24(9):2880{2890,September 2007.
[8]PeterThomas Schuster,Karsten Frenner,Stephan Rafler,andWolfgang Osten.Normal vector method for the RC WA with automated vectorfield generation.OPTICS EXPRESS,16(22):17295-17301,October 2008.
结论
应该认识到,具体实施例部分而不是发明内容和摘要部分,用于解释本权利要求。发明内容和摘要部分可以提出一个或更多个、但并不是发明人预期的本发明的示例性实施例,并且因此不是为了限制本发明以及以任何方式限制未决的权利要求。
本发明已经借助示出其具体的功能和关系的功能构造块进行了描述。为了便于描述,这些功能性构造模块的边界是可以任意限定其中的。可以限定替换的边界,只要正确地执行其具体的功能和关系。
具体实施例的上述描述将充分反映本发明总的构思,使得通过应用本领域技术人员所掌握的常识,不需要不适当的试验,在不脱离本发明的总的构思的情况下,可以容易地修改和/或适应这些具体实施例的不同应用。因此,基于本公开的教导和指导,这些适应和修改应该在所公开的实施例的等同物的意义和范围内。应该理解,这里的措辞和术语是为了描述而不是为了限制,使得本发明说明书的措辞和术语由本领域技术人员根据所述教导和指导进行解释。
本发明的宽度和范围不应该由上面所述的示例性实施例任一个限制,而应该仅根据权利要求以及等价物限定。

Claims (25)

1.一种由被检测的由辐射照射物体产生的电磁散射性质来重构所述物体的近似结构的方法,所述方法包括步骤:
估计至少一个物体结构;
由所估计的至少一个物体结构确定至少一个模型电磁散射性质;
将所检测到的电磁散射性质与所述至少一个模型电磁散射性质进行比较;和
基于比较结果确定物体的近似结构,
其中使用计算物体结构的电磁散射性质的方法来确定所述模型电磁散射性质,所述物体结构沿至少一个方向是周期性的并且包括不同性质的材料,以便在材料边界处引起电磁场的不连续,所述方法包括:对通过基的变化而与电磁场相关的矢量场的体积积分方程进行数值求解,以便确定所述矢量场的近似解,所述矢量场在材料边界处是连续的。
2.如权利要求1所述的方法,还包括将多个模型电磁散射性质布置在库内的步骤,并且所述比较步骤包括将检测的电磁散射性质与库内的内容进行匹配。
3.如权利要求1或2所述的方法,还包括:对估计至少一个物体结构的步骤、确定至少一个模型电磁散射性质的步骤以及比较检测的电磁散射的步骤进行迭代,其中估计至少一个物体结构的步骤是基于在之前的迭代中的比较步骤的结果。
4.如权利要求1所述的方法,其中,所述电磁散射性质包括反射系数。
5.如权利要求1所述的方法,其中,所述电磁场包括入射和散射电磁场分量的总和。
6.如权利要求1所述的方法,其中:
所述矢量场由相对于所述至少一个方向的至少一个有限傅里叶级数表示;和
所述对体积积分方程进行数值求解的步骤包括:通过卷积-基变化算子与矢量场的卷积来确定电磁场的分量。
7.如权利要求6所述的方法,其中,卷积-基变化算子与矢量场的卷积使用包括快速傅里叶变换或数论变换的变换来执行。
8.如权利要求6所述的方法,其中,卷积-基变化算子包括所述物体结构沿所述至少一个方向的材料性质和几何性质,并且配置成通过根据所述材料性质和几何性质来执行基的变化而将所述矢量场转换成所述电磁场。
9.如权利要求6所述的方法,其中,所述卷积-基变化算子根据有限离散卷积来操作。
10.如权利要求6所述的方法,其中,对体积积分方程进行数值求解的步骤包括:通过卷积算子与矢量场的卷积来确定电流密度。
11.如权利要求10所述的方法,其中,卷积算子与矢量场的卷积使用包括快速傅里叶变换或数论变换的变换来执行。
12.如权利要求10所述的方法,其中,所述卷积算子包括所述物体结构沿所述至少一个方向的材料性质和几何性质。
13.如权利要求10所述的方法,其中,所述卷积算子根据有限离散卷积来操作。
14.如权利要求10所述的方法,其中,所述电流密度是对比电流密度。
15.如权利要求10所述的方法,其中,所述电流密度由相对于所述至少一个方向的至少一个有限傅里叶级数表示。
16.如权利要求15所述的方法,其中,对体积积分方程进行数值求解的步骤还包括:通过格林函数算子与电流密度的卷积来确定散射电磁场。
17.如权利要求16所述的方法,其中,格林函数算子与电流密度的卷积使用包括快速傅里叶变换或数论变换的变换来执行。
18.如权利要求1所述的方法,其中,通过使用法向矢量场过滤掉与所述至少一个材料边界相切的电磁场的连续分量和与所述至少一个材料边界相垂直的电磁通量密度的连续分量,而由所述电磁场的场分量和相对应的电磁通量密度的组合来构建所述矢量场。
19.如权利要求1所述的方法,还包括:通过卷积-基变化算子与所述矢量场的近似解的卷积来确定电磁场。
20.如权利要求19所述的方法,其中,所述卷积使用包括快速傅里叶变换或数论变换的变换来执行。
21.一种用于重构物体的近似结构的检查设备,所述检查设备包括:
照射系统,配置成用辐射照射所述物体;
检测系统,配置成检测由所述照射产生的电磁散射性质;
处理器,配置成:
估计至少一个物体结构;
由所述至少一个估计的物体结构来确定至少一个模型电磁散射性质;
将所检测到的电磁散射性质与所述至少一个模型电磁散射性质进行比较;和
由所检测到的电磁散射性质和所述至少一个模型电磁散射性质之间的差异确定近似的物体结构,
其中,所述处理器配置成使用计算物体结构的电磁散射性质的方法来确定所述模型电磁散射性质,所述物体结构沿至少一个方向是周期性的并且包括不同性质的材料,以便在材料边界处引起电磁场的不连续,所述方法包括对通过基的变化而与电磁场相关的矢量场的体积积分方程数值求解,以便确定所述矢量场的近似解,所述矢量场在材料边界处是连续的。
22.一种由检测的由辐射照射物体产生的电磁散射性质重构所述物体的近似结构的方法,所述方法包括步骤:
使用处理装置估计至少一个物体结构;
使用处理装置由所估计的至少一个物体结构来确定至少一个模型电磁散射性质;
使用处理装置将检测的电磁散射性质与所述至少一个模型电磁散射性质进行比较;和
使用处理装置基于比较的结果来确定物体的近似结构,
其中通过下述步骤确定所述模型电磁散射性质:
计算物体结构的电磁散射性质,所述物体结构沿至少一个方向是周期性的并且包括不同性质的材料,以便在材料边界处引起电磁场的不连续,和
对通过基的变化而与电磁场相关的矢量场的体积积分方程进行数值求解,以便确定所述矢量场的近似解,所述矢量场在材料边界处是连续的。
23.如权利要求22所述的方法,还包括步骤:
将多个所述模型电磁散射性质布置在库内;和
所述比较步骤包括:将所检测到的电磁散射性质与库内的内容相匹配。
24.如权利要求22所述的方法,还包括步骤:
对估计至少一个物体结构的步骤、确定所述至少一个模型电磁散射性质的步骤、以及比较所检测到的电磁散射的步骤进行迭代;和
其中估计至少一个物体结构的步骤基于在之前迭代中的比较步骤的结果。
25.一种用于重构物体的近似结构的检查设备,所述检查设备包括:
照射系统,配置成用辐射照射物体;
检测系统,配置成检测由所述照射产生的电磁散射性质;
处理器,配置成:
估计至少一个物体结构;
由所述至少一个估计的物体结构来确定至少一个模型电磁散射性质;
将所检测到的电磁散射性质与所述至少一个模型电磁散射性质进行比较;和
由所检测到的电磁散射性质和所述至少一个模型电磁散射性质之间的差异来确定物体的近似结构,
其中,所述处理器配置成通过下列步骤来确定所述模型电磁散射性质:
计算物体结构的电磁散射性质,所述物体结构沿至少一个方向是周期性的并且包括不同性质的材料,以便在材料边界处引起电磁场的不连续,和
对通过基的变化而与所述电磁场相关的矢量场的体积积分方程进行数值求解,以便确定所述矢量场的近似解,所述矢量场在材料边界处是连续的。
CN201010294451.2A 2009-09-24 2010-09-21 用于为显微结构的电磁散射性质建模的方法和设备以及用于重构显微结构的方法和设备 Active CN102033433B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US24554609P 2009-09-24 2009-09-24
US61/245,546 2009-09-24

Publications (2)

Publication Number Publication Date
CN102033433A CN102033433A (zh) 2011-04-27
CN102033433B true CN102033433B (zh) 2014-10-15

Family

ID=43303993

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010294451.2A Active CN102033433B (zh) 2009-09-24 2010-09-21 用于为显微结构的电磁散射性质建模的方法和设备以及用于重构显微结构的方法和设备

Country Status (9)

Country Link
US (1) US8731882B2 (zh)
EP (1) EP2302360B1 (zh)
JP (1) JP5215366B2 (zh)
KR (1) KR101389387B1 (zh)
CN (1) CN102033433B (zh)
IL (1) IL208105A (zh)
NL (1) NL2005325A (zh)
SG (1) SG169952A1 (zh)
TW (1) TWI472744B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2302360B1 (en) * 2009-09-24 2013-01-23 ASML Netherlands B.V. Method and apparatus for reconstruction of microscopic structures
US8504345B1 (en) 2009-10-13 2013-08-06 Sas Ip, Inc. State-space model-based simulators and methods
TWI417942B (zh) * 2009-12-17 2013-12-01 Ind Tech Res Inst 二維陣列疊對圖樣組之設計方法、疊對誤差量測方法及其量測系統
US8892414B1 (en) 2010-02-26 2014-11-18 Sas Ip, Inc. Transmission-line simulators and methods
US8938372B1 (en) 2010-09-09 2015-01-20 Sas Ip, Inc. Simulating signal integrity structures
US8924186B1 (en) * 2010-09-09 2014-12-30 Sas Ip, Inc. Simulations of physical systems for multiple excitations
US8510091B1 (en) 2010-09-09 2013-08-13 Sas Ip, Inc. Domain decomposition formulations for simulating electromagnetic fields
US9063882B1 (en) 2010-09-09 2015-06-23 Sas Ip, Inc. Matrix preconditioners for simulations of physical fields
IL218588A (en) * 2011-03-23 2015-09-24 Asml Netherlands Bv A method and system for calculating the electromagnetic scattering properties of a structure and for reconstructing approximate structures
NL2009056A (en) * 2011-08-09 2013-02-12 Asml Netherlands Bv A lithographic model for 3d topographic wafers.
US9477792B1 (en) 2012-02-09 2016-10-25 Sas Ip, Inc. Enhancements to parameter fitting and passivity enforcement
US8766578B2 (en) * 2012-02-27 2014-07-01 Canadian Space Agency Method and apparatus for high velocity ripple suppression of brushless DC motors having limited drive/amplifier bandwidth
NL2013312A (en) * 2013-09-09 2015-03-10 Asml Netherlands Bv Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures.
CN103543598B (zh) * 2013-09-22 2016-04-13 华中科技大学 一种光刻掩模优化设计方法
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
WO2015078670A1 (en) * 2013-11-26 2015-06-04 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for estimation of geometrical and material parameters thereof
US9076688B1 (en) * 2014-03-10 2015-07-07 Globalfoundries Inc. Scatterometry for nested and isolated structures
FR3033063B1 (fr) 2015-02-24 2017-03-10 Univ Jean Monnet Saint Etienne Procede de calcul numerique de la diffraction d'une structure
KR102030100B1 (ko) 2015-03-05 2019-10-08 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
CN107408197A (zh) * 2015-03-11 2017-11-28 西门子公司 基于解卷积网络的细胞图像和视频的分类的系统和方法
JP6810734B2 (ja) 2015-07-17 2021-01-06 エーエスエムエル ネザーランズ ビー.ブイ. 構造との放射のインタラクションをシミュレートするための方法及び装置、メトロロジ方法及び装置、並びに、デバイス製造方法
WO2017063839A1 (en) * 2015-10-12 2017-04-20 Asml Netherlands B.V. Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
WO2018007126A1 (en) 2016-07-07 2018-01-11 Asml Netherlands B.V. Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures
US10514620B2 (en) * 2016-08-15 2019-12-24 Asml Holding N.V. Alignment method
CA2941487A1 (en) * 2016-09-09 2018-03-09 Oz Optics Ltd. A multi-view planar near-field scattering tomography system
WO2018108503A1 (en) 2016-12-13 2018-06-21 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
EP3370114A1 (en) 2017-03-02 2018-09-05 ASML Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
US11373018B2 (en) 2018-01-25 2022-06-28 Kioxia Corporation Method of displaying model and designing pattern, and storage medium
EP3570108A1 (en) * 2018-05-14 2019-11-20 ASML Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure
EP3611568A1 (en) 2018-08-15 2020-02-19 ASML Netherlands B.V. Method and metrology apparatus for determining estimated scattered radiation intensity
DE102020122990A1 (de) * 2020-09-03 2022-03-03 Ansys, Inc. Verfahren und Vorrichtungen zur Simulation elektromagnetischer Strahlen
CN113343182B (zh) * 2021-06-30 2024-04-02 上海精测半导体技术有限公司 理论光谱数据的优化方法、系统、电子设备及测量方法
CN114383511B (zh) * 2021-11-30 2024-04-19 甘肃智通科技工程检测咨询有限公司 级联靶标自校准式大型结构体位移形变测量方法
CN114297905B (zh) * 2022-03-10 2022-06-03 中南大学 一种二维大地电磁场的快速数值模拟方法
CN118468676A (zh) * 2024-07-10 2024-08-09 西安电子科技大学 一种基于有限元的非共形网格电磁周期结构求解方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6867866B1 (en) * 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
JP2008042202A (ja) * 2006-08-08 2008-02-21 Asml Netherlands Bv 角度分解分光リソグラフィの特徴付けのための方法および装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5588032A (en) * 1992-10-14 1996-12-24 Johnson; Steven A. Apparatus and method for imaging with wavefields using inverse scattering techniques
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US6097488A (en) * 1998-06-22 2000-08-01 Princeton University Method and apparatus for measuring micro structures, anisotropy and birefringence in polymers using laser scattered light
US6377041B1 (en) * 1998-12-17 2002-04-23 Polhemus Inc. Method and apparatus for determining electromagnetic field characteristics within a volume
US6795801B1 (en) * 1999-08-13 2004-09-21 Electric Power Research Institute, Inc. Apparatus and method for analyzing anisotropic particle scattering in three-dimensional geometries
US6847925B2 (en) * 2000-06-21 2005-01-25 Hrl Laboratories, Llc Method and apparatus for modeling three-dimensional electromagnetic scattering from arbitrarily shaped three-dimensional objects
JP2002196230A (ja) * 2000-12-27 2002-07-12 Olympus Optical Co Ltd 結像シミュレーション法及びそれを用いた結像シミュレーション装置及び記憶媒体
US7257518B2 (en) * 2001-02-28 2007-08-14 Felix Alba Consultants, Inc. Fundamental method and its hardware implementation for the generic prediction and analysis of multiple scattering of waves in particulate composites
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US7427521B2 (en) * 2002-10-17 2008-09-23 Timbre Technologies, Inc. Generating simulated diffraction signals for two-dimensional structures
JP3892843B2 (ja) * 2003-11-04 2007-03-14 株式会社東芝 寸法測定方法、寸法測定装置および測定マーク
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7274465B2 (en) * 2005-02-17 2007-09-25 Timbre Technologies, Inc. Optical metrology of a structure formed on a semiconductor wafer using optical pulses
US7725167B2 (en) * 2005-07-13 2010-05-25 Clemson University Microwave imaging assisted ultrasonically
US20080129986A1 (en) * 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8031383B2 (en) * 2007-10-23 2011-10-04 Thomson Licensing Common aperture holographic storage system with reduced noise
US8312402B1 (en) * 2008-12-08 2012-11-13 Cadence Design Systems, Inc. Method and apparatus for broadband electromagnetic modeling of three-dimensional interconnects embedded in multilayered substrates
EP2302360B1 (en) * 2009-09-24 2013-01-23 ASML Netherlands B.V. Method and apparatus for reconstruction of microscopic structures
WO2011048008A1 (en) * 2009-10-22 2011-04-28 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure using a normal-vector field and for reconstruction of approximate structures
IL218588A (en) * 2011-03-23 2015-09-24 Asml Netherlands Bv A method and system for calculating the electromagnetic scattering properties of a structure and for reconstructing approximate structures
US9322910B2 (en) * 2011-07-15 2016-04-26 Technoimaging, Llc Method of real time subsurface imaging using electromagnetic data acquired from moving platforms

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6867866B1 (en) * 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
JP2008042202A (ja) * 2006-08-08 2008-02-21 Asml Netherlands Bv 角度分解分光リソグラフィの特徴付けのための方法および装置

Also Published As

Publication number Publication date
EP2302360A2 (en) 2011-03-30
TWI472744B (zh) 2015-02-11
EP2302360B1 (en) 2013-01-23
JP5215366B2 (ja) 2013-06-19
TW201132952A (en) 2011-10-01
NL2005325A (en) 2011-03-28
US8731882B2 (en) 2014-05-20
IL208105A (en) 2016-08-31
SG169952A1 (en) 2011-04-29
JP2011128137A (ja) 2011-06-30
EP2302360A3 (en) 2011-05-04
US20110218789A1 (en) 2011-09-08
KR101389387B1 (ko) 2014-05-27
KR20110033094A (ko) 2011-03-30
IL208105A0 (en) 2011-02-28
CN102033433A (zh) 2011-04-27

Similar Documents

Publication Publication Date Title
CN102033433B (zh) 用于为显微结构的电磁散射性质建模的方法和设备以及用于重构显微结构的方法和设备
JP5331221B2 (ja) 構造の電磁散乱特性を計算し、近似構造を再構築する方法及び装置
CN102967997B (zh) 用于确定重叠误差的方法和设备
CN102918464B (zh) 衬底上结构的测量
CN103003754B (zh) 用于确定重叠误差的方法和设备
TWI444781B (zh) 檢驗方法與裝置,微影裝置,微影處理單元及器件製造方法
JP4767924B2 (ja) 角度分解分光リソグラフィの特徴付けのための方法および装置
US8706455B2 (en) Methods and apparatus for calculating electromagnetic scattering properties of a structure using a normal-vector field and for reconstruction of approximate structures
CN106062634B (zh) 测量涉及光刻术的制造过程的过程参数
US10408753B2 (en) Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures
CN105874387A (zh) 用于设计量测目标的方法和设备
CN105452963A (zh) 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
CN105874389A (zh) 用于设计量测目标的方法和设备
CN101261452A (zh) 检验方法和设备、光刻处理单元和器件制造方法
CN105765463A (zh) 用于计算结构的电磁散射性质及用于估计其几何和材料参数的方法和装置
US8875078B2 (en) Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus
TWI712790B (zh) 用於計算一結構的電磁散射特性的方法和裝置
US11041816B2 (en) Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
EP3370114A1 (en) Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant