CN101807512A - 半导体晶片的多区域温度控制 - Google Patents

半导体晶片的多区域温度控制 Download PDF

Info

Publication number
CN101807512A
CN101807512A CN 200910252738 CN200910252738A CN101807512A CN 101807512 A CN101807512 A CN 101807512A CN 200910252738 CN200910252738 CN 200910252738 CN 200910252738 A CN200910252738 A CN 200910252738A CN 101807512 A CN101807512 A CN 101807512A
Authority
CN
China
Prior art keywords
film
wafer
heating element
critical dimension
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN 200910252738
Other languages
English (en)
Inventor
张钧琳
吴欣贤
魏正泉
杨棋铭
陈其贤
叶俊林
林日泽
王若飞
范明煜
牟忠一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101807512A publication Critical patent/CN101807512A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一种设备包括配置用来执行离子注入工艺的处理室。在处理室内部提供冷却滚筒或静电盘被。冷却滚筒或静电盘被配置用来支撑半导体晶片。冷却滚筒或静电盘具有多个温度区。每个温度区包括位于冷却滚筒或静电盘内部或者与冷却滚筒或静电盘邻近的至少一个流体管道。提供至少两个冷却剂源,每个冷却剂源流体连接到对应的流体管道的一个上,并被配置以在离子注入工艺过程提供对应不同的冷却剂到多个温度区中一个对应的区域中。冷却剂源分别包括不同的冷却或冷冻单元。

Description

半导体晶片的多区域温度控制
技术领域
本发明涉及半导体制造工艺及设备。
背景技术
半导体芯片制造工业一直为减少成本而努力,减少每个芯片的产品成本的其中一个主要策略是转向使用较大直径的半导体晶片。目前的半导体代工厂基本上使用200mm(8英寸)和300(12英寸)的硅晶片(wafer)。通过转向使用450mm的晶片,从每个晶片生产的管芯(die)(相同尺寸)的数量将大概以晶片面积增长的比例增加。因此,450mm晶片能够生产的芯片是300mm晶片的2.25倍。
处理较大晶片引起了机械的挑战。其中一个提供具有高产量的可靠处理的方法是严格控制处理条件。由于450mm晶片具有较大的直径和表面面积,因此更难于在处理时达到并维持整个晶片一致的环境。例如,在特定温度下执行一些处理步骤。如果将加热或冷却施加到晶片上分离的位置,则加热点或冷却点可能出现在晶片上。此外,加热和冷却的次级来源(例如辐射热转化的或者来自室壁的)可能不均匀地影响晶片。如果晶片温度在整个晶片上不一致,接着可能在各个处理步骤中发生局部变化,导致管芯内部的变化以及晶片内部的(管芯之间)变化,例如线宽变化。
发明内容
在一些实施例中,一种设备包括配置用来执行离子注入工艺的处理室。在处理室内部提供有静电盘被。静电盘被配置用来支撑半导体晶片。该静电盘具有多个温度区。每个温度区包括位于静电盘内部或者与静电盘邻近的至少一个流体管道。提供至少两个冷却剂源,每个冷却剂源流体连接到对应的流体管道的一个上,并被配置在离子注入工艺过程中提供对应不同的冷却剂到多个温度区中对应的一个中。冷却剂源分别包括不同的冷却或冷冻单元。
在一些实施例中,一种方法包括:对由静电盘支撑的半导体晶片上执行离子注入工艺。将第一和第二不同冷却剂流体提供到位于静电盘中或者与静电盘邻近的对应第一和第二流体管道中,第一和第二流体管道对应静电盘的第一和第二区域,从而在所述离子注入工艺中对邻近静电盘的第一和第二区域的所述晶片的第一和第二部分对应的晶片温度进行独立控制。
在一些实施例中,一种方法包括在位于第一半导体衬底上的薄膜材料的第一薄膜中蚀刻电路图案。在多个位置测量所述电路图案的临界尺寸。基于所述测量的临界尺寸,对形成第二半导体衬底上该薄膜材料的第二薄膜的单晶片室进行调整,从而局部调整所述第二薄膜的厚度。使用所述调整单晶片室在所述第二半导体衬底上形成所述第二薄膜。
在一些实施例中,一种设备包括处理器,所述处理器用于接收在电路图案中各个位置的多个临界尺寸测量,所述电路图案通过对第一半导体衬底上包括薄膜材料的薄膜蚀刻而成。单晶片室被提供用于形成位于第二半导体衬底上的该薄膜材料的第二薄膜。所述单晶片室响应所述处理器输出的控制信号,以基于所述临界尺寸测量对所述第二薄膜的厚度进行局部调整。
在一些实施例中,一种设备包括处理室,所述处理室被配置用于执行衬底涂覆或光致抗蚀剂显影的步骤。所述处理室具有用来支撑半导体衬底的加热台,所述加热台具有多个独立可移动的加热元件。提供控制器用于控制对所述可移动加热元件位置的独立调整。
在一些实施例中,一种方法包括测量加热台支撑的第一半导体衬底上多个位置的临界尺寸。通过所述加热台上多个独立可控加热元件对提供热量到第二衬底的位置进行调整。所述调整基于所述测量的临界尺寸。在对所述第二衬底进行涂覆或者对所述第二衬底上光致抗蚀剂进行显影的同时,在所述位置将热量提供到所述第二衬底上。
附图说明
图1为半导体处理工具的示意图;
图2为图1的冷却滚筒的示意图;
图3为图2的冷却滚筒的侧视示意图;
图4为图3所示冷却滚筒的变化;
图5为具有薄膜淀积室的工具的示意图;
图6为图5所示工具的处理示意图,该图显示了前馈和反馈;
图7为图5的工具中执行处理的流程图;
图8A为涂层机或显影机中使用的热板的平面图;
图8B为图8A的热板的示意图,并具有加热元件以及加热元件的控制器;
图9为处理工艺流程线的方框图;
图10为用于图9工艺的控制系统方框图;
图11为在涂层机中具有独立温度控制的处理流程图;
图12为在显影机中具有独立温度控制的处理流程图;以及
图13为替代加热装置的示意图。
具体实施方式
应结合附图阅读示意性实施例说明,其中附图被认为是整个说明书的一部分。在说明书中,例如“下面的”、“上面的”、“水平”、“垂直”、“上方”、“下方”、“向上”、“向下”、“上部”、“底部”及其派生词(例如“水平地”、“向下地”、“向上地”等)等相关术语应当被构造用来参考下文中讨论的附图中描述或显示的方位。这些相关术语仅为了方便描述,并不需要以特定的方向构造或操作设备。关于附着、连接等术语,例如“连接”以及“互连”,用来指示结构直接地或通过中间结构间接地固定或结合到另一个结构上的关系,以及指示活动性或固定附着或关联,除非有其他的表述。
图1显示了注入工具100。该工具100具有晶片转移室102,晶片转移室102将晶片保持在密封的真空环境中。多个预备室104可连接到晶片转移室102。预备室104可通至大气压。配置预备室104以从四个装载口大气传送模块114,或者从其他机械装置接收晶片105。然后对预备室104密封关闭并且将其抽空为真空压力。接着,在不干扰晶片转移室102中真空或工艺流程的情况下,可将晶片105转移到晶片转移室102中。将晶片105从晶片转移室102转移到处理室112的处理冷却滚筒(platen)或者静电盘(e-chuck)106中。通过多个冷却剂对处理冷却滚筒platen或者静电盘106进行冷却,多个冷却剂由用于冷却到较低温度的第一冷冻机(压缩机)103、第二冷冻机113以及第三冷冻机123在冷却线中提供。处理室112具有扫描马达108,扫描马达108产生用于注入工艺步骤的离子束110。
通过用离子束轰击晶片105来执行注入工艺。由离子注入导致的衬底损伤可产生结漏。低温的离子注入工艺将减少衬底损伤,从而消除射程末端(end-of-range,EOR)缺陷(位于无定形层和晶体层之间的界面处)。离子的低温注入轰击在目标晶体中产生完整的无定形区域,即其中没有特定晶体结构存在的区域。在低温注入之后执行的退火促进注入的区域y,即由轰击离子穿透的深度y表示的层,再结晶到类似外延生长部份的层中,将这种技术命名为“固相外延”。低注入温度应当在整个晶片上一致。
本发明已确定,当传统冷却滚筒用于低温离子注入时,晶片的温度变化,并且其大概是晶片上径向位置的函数。例如,如果在晶片的中心提供冷却气体,该中心将具有最低的温度,并且晶片的周边将具有最高的温度。在这样的配置中,随着晶片半径增加到450mm,晶片中心与周边之间的潜在温度差可能更大。这能够导致整个晶片非均匀的晶体结构,从而导致不均匀的器件性能。
图2为示意性的处理室112的多区域冷却滚筒或e-chuck106的更详细视图。冷却滚筒或e台106适用于注入工艺过程中的晶片内部温度控制。通过在注入过程中对整个晶片提供均匀且期望的温度,可能能够改善临界尺寸(CD)的均匀性,这使得改善晶片内部结漏性能以及阈值电压均匀性成为可能,并且可能减少或消除镍管道(piping)缺陷。
处理室112内部的冷却滚筒或e-chuck106被配置用来支撑半导体晶片。冷却滚筒或e-chuck106具有多个温度区101、111、122以及124,其中区域122和124形成单个温度控制区。每个温度区101、111、122和124包括至少一个位于静电盘内部或者与静电盘邻近的流体管道,具体参考如图3和图4所示。冷却滚筒或e-chuck106具有至少两个冷冻剂源103、113和123。每个冷冻剂源103、113、123液体连接到位于对应温度控制区101、111以及122和124中对应的一个流体管道上。每个冷冻剂源103、113、123被配置用来将对应不同的冷却剂提供到多个温度区中的一个对应温度区中。
在一些实施例中,至少两个冷却剂源包括对应不同的冷却或冷冻单元103、113和123,冷却或冷冻单元103、113和123被配置用来对应地提供具有对应不同温度的不同的冷却剂。例如,冷却剂可以为低温流体,例如冷却剂包括液体氢(20K,-253℃)、液体氦(3K,-270℃)、液体氮(77K,-196℃)、液体氧(90K,-183℃)、液体甲烷(112K,-162℃)以及液体一氧化二氮(88K,-185℃)。因此,冷却滚筒或e-chuck106能够被冷却到从这些温度中选择的温度。可选择地,冷冻的非低温冷却剂可以用来提供大约-50℃、0℃或者5℃。根据冷却滚筒或e-chuck106的配置,以及其中材料的导热性,晶片温度可以一定程度地高于冷却剂的温度。
在图2的实施例中,多个温度区包括多个同心环区101、111和122、124。环形温度控制区通常适用于圆柱状晶片,在这种晶片中,局部温度通常为圆柱状极坐标系统的径向坐标的函数,其中圆柱状极坐标系统的中心位于晶片中心。
虽然图2显示了三个温度控制区101、111和122、124,但是在可选择的实施例中,可以包括两个或更多任意数量的温度控制区。
在一些配置中,温度分布也可以随着晶片的切线极化坐标变化(例如,如果冷却滚筒或e-chuck 106位于一个具有不均匀分布热量的轴向不对称内部结构的底座上)。在这样的配置中,每个径向温度区可以被划分为两个、三个或者四个角形区域,从而在离子注入过程中提供更精确的温度控制用于更好的温度均匀性。
温度控制器130提供用于独立控制来自冷冻机103、113、123的对应不同冷却剂向具有多个温度区的供应,多个温度区在各自不同的温度,从而在整个晶片上维持大体上均匀的晶片温度。温度反馈用来控制每个区域中的温度。通过位于冷却滚筒或e-chuck106上或者内部的多个传感器可以采集温度反馈。可选择地,可以采集温度分布的图像。
如果使用低温冷却剂,则以基本恒定的供应温度提供每个冷却剂。可以通过改变冷却剂流动(具有恒定流动速度)的工作周期,或者通过改变每个温度区101、111以及122、124中的冷却剂体积流动速度来对从每个区域去除的热量进行控制。控制器130可以具有显示每个冷却剂源的合适冷却剂流动速度或工作周期的表格,作为由每个对应冷却剂源控制的区域中平均温度的函数。
在其他实施例中(例如,如果使用非低温冷却剂),冷冻机103、113和123中的一个或多个可以能够提供在温度范围内的单独冷却剂,因此可以通过改变对应那个(那些)区域内部的冷却剂供应温度对区域101、111和122、124中的一个或多个的温度进行控制。
通过在不同的径向区域中提供不同的冷却剂,可将晶片温度的径向变化降为最小或避免。例如,可以在冷却滚筒或e-chuck 106的内环形区122、124内部或与内环形区122、124相邻提供第一冷却剂流体(例如-162度的液态甲烷),并且可以在冷却滚筒或e-chuck106的外环形区111中提供第二冷却剂流体(例如-196度的液态氮),其中第二冷却剂比第一冷却剂具有更低的沸腾温度。
图3和图4显示了冷却滚筒或e-chuck的配置的两个实施例。在图3中,冷却剂流体管道101、111和124为设置在冷却滚筒106后表面上的管子。可以通过将管子焊接或连接到后表面实现这种配置。图4显示了另一种配置,其中管道201、211和222、224形成在冷却滚筒或e-chuck206内部。与图3的设备相比,图4的配置在冷却剂和冷却滚筒或e-chuck106之间提供改善的热连接。
虽然图1-4涉及离子注入工艺步骤,但是多区域温度控制可以使用在半导体集成电路制造工艺的其他部分中。图5-7涉及多区域温度控制在薄膜淀积工艺,例如化学气相淀积(CVD)、等离子体增强CVD(PECVD)或者物理气相淀积(PVD)中的应用。
图6为金属氧化半导体(MOS)工艺的处理示意图。衬底600具有在其上的多晶硅栅极601。例如薄保形(conformal)氧化层的衬垫层602形成在多晶硅栅极601的侧壁上以及衬底600上。保形氮化硅(SiN)层603形成在衬里(liner)层602的上方。执行各向同性(干)蚀刻工艺,将多晶硅栅极601上的SiN层603蚀刻掉。因此,垫片(spacer)603形成在衬里层602上的多晶硅栅极601的旁边。在衬底600中形成轻掺杂漏极(LDD)区(图中未显示)的步骤中,垫片603是有用的。
控制图6所示的临界尺寸CD,以控制LDD区的大小。发明人确定可以通过控制SiN层603的厚度来控制CD,并且可以通过控制SiN层603的厚度均匀性控制CD的均匀性。此外,可以通过独立控制多个独立可控区中晶片的局部温度来控制SiN层603的厚度均匀性和CDU(临界尺寸均匀性)。
在一些实施例中,单晶片淀积室用来调整使用多个用于薄膜淀积和蚀刻匹配的加热器区域的特定厚度分布。发明人确定单晶片室提供基本轴向对称的晶片温度分布。能够更容易地由多个环形加热区对轴向对称温度分布补偿。
图5为用于通过CVD或者PECVE工艺(或者其他各向同性淀积工艺)淀积薄膜的单个晶片淀积室500的示意图。提供滚筒506用于支撑半导体晶片。滚筒506具有多个独立可控的温度区501、511、521和531。虽然图5显示了四个温度控制区501、511、521和531,但是可以使用两个或更多等任意期望数量的温度控制区。温度控制区的数量越大,维持薄膜602和603的厚度控制的能力就越强,并因而控制CDU的能力越强。
在加热区501、511、521和531的每个中提供至少一个加热元件540。虽然图5显示了13个十字配置的加热元件540,但是可以提供任意数量的加热元件,并且加热元件可以以任意希望的配置进行排列。加热元件540的数量越大,维持薄膜602和603的厚度控制的能力越强,并因而控制CDU的能力越强。
图6显示了控制步骤的示意图。示意性的系统提供从该工艺和温度控制形成的器件实际临界尺寸的反馈,以控制薄膜层602、603的厚度。在蚀刻工具(例如干法蚀刻工具)中执行蚀刻步骤之后,在晶片上电路图案中多个位置进行CD测量。可以使用例如扫描电子显微镜(SEM)执行测量。优选地,自动地将CD测量提供给控制器550,或者提供给与控制器550连接的处理器。
提供处理器(例如自动处理控制器550)用于接收来自第一半导体衬底上各个位置的多个CD测量,其中从氧化膜和SiN膜蚀刻该各个位置。如果第一薄膜(位于第一晶片上相同位置)的临界尺寸小于理想的尺寸,就配置处理器以控制加热元件以增加第二薄膜(位于第二晶片上)的局部厚度,并且如果第一薄膜的临界尺寸大于理性尺寸,则减少第二薄膜的厚度。在例如CVD或者PECVD的薄膜淀积工艺中,随着晶片温度的增加(同时保持其他工艺参数恒定),淀积层的厚度也增加。
控制器550决定即将提供到每个温度区501、511、521、531的加热修正,以实现即将提供的薄膜的厚度均匀性。例如,控制器550可以具有表格,该表格规定将要施加到给定温度控制区中的每个加热元件540的加热能量的增加,该增加与那个区域的平均临界尺寸和理想临界尺寸之间的偏差成比例。
虽然图5显示了一个控制器550,但是控制功能可以由多个处理器执行。例如,处理控制器可以直接与加热元件连接,并且通用处理器可以提供用于控制所使用算法和数据的应用程序软件,其中该算法和数据用于执行CD测量与提供到加热元件540的能量之间的反馈。接着在第二或后续晶片上执行薄膜淀积时,将从第一晶片的SEM CD测量确定的新的加热能量级提供到加热元件540上。
虽然上述实施例描述氧化膜和SiN膜形成在晶片上,并且对SiN垫片的宽度进行控制,但是在其他例子中,可以淀积其他材料的薄膜,并且可以使用多个独立控制的温度区域对淀积层的厚度进行控制,从而用于控制其他结构的临界尺寸。
图7为显示根据图6的方法示例的流程图。
在步骤700中,将晶片提供到单个晶片淀积室500中,用于通过各向同性工艺例如CVD或PECVD进行保形膜淀积。
在步骤702中,在单晶片淀积室内将保形膜淀积到衬底600上。如果处理的是第一晶片,则可将在淀积过程中提供到每个温度控制区的加热元件540的能量设定为默认值。
在步骤704中,将晶片转移到蚀刻工具处,例如等离子体蚀刻反应室。在第一半导体衬底上第一薄膜中蚀刻有电路图案。例如,如图6所示,可以使用干法蚀刻步骤来形成位于多晶硅栅极601旁边的SiN垫片。
在步骤706中,在多个位置测量电路图案的临界尺寸。例如,可以使用扫描电子显微镜。该多个位置应当包括在每个温度控制区中的至少一个(优选地多于一个)的位置。例如,在图6中,所测量的临界尺寸是SiN垫片的宽度。通过采集在所有温度控制区中的临界尺寸的测量值,则测得CDU。
在步骤708中,基于SEM数据的CDU反馈,处理器或者控制器550判断单个晶片淀积室500中哪个温度控制区应当具有增加或减少的厚度,从而获得理想的CDU。在一些实施例中,在进入到调整步骤708之前,重复执行步骤700到706(例如重复2次或3次,或更多的次数)。在其他实施例中,每当在步骤700-706中处理另一个晶片时,则执行步骤708。可以基于多个因素决定多久执行一次调整步骤,例如工艺的稳定性,将冷却滚筒区温度调整至加热器能量变化所花费的时间的长度,或者根据更多数据采样进行基本调整的期望。
在步骤710中,基于测量的临界尺寸,控制器550对提供到单晶片室500的温度控制区中每个加热元件540的能量进行调整,从而局部调整第二薄膜的厚度。可分别调整每个温度控制区,从而区别地调整厚度以改善CDU。如果第一晶片的临界尺寸小于预期的尺寸,则增加提供到温度控制区的加热能量以增加薄膜的厚度。如果第一晶片的临界尺寸大于预期的尺寸,则减少提供到温度控制区的加热能量以减少该薄膜的厚度。
在步骤710完成之后,重复从步骤700到步骤710的循环,从而使用调整单晶片室在第二半导体衬底上形成该薄膜材料的第二薄膜。
多温度区系统的另一个实施例如图8A到图12所示。通过经由可变的位置加热元件在加热台或者e-chuck中的灵活温度控制,图8A-12增强了本发明设备和方法的处理控制能力。通过移动单个的加热元件840,加热台801对将热量提供到衬底的位置进行独立调整。
图8A显示了适用于在光刻工艺采用的加热台801。加热台801可以被包含在涂层机902(见图9)或者显影机906(见图9)中。图8A的加热台801具有多个加热元件,这些加热元件可以以任意希望的数量提供,并且可以设置在任意希望的位置。如图所示,每个加热元件840可以在XY平面中在X范围RX和Y范围RY内移动。可将可移动元件840沿着径向和/或切线方向移动。可移动元件840可以移动到一定位置,从而形成对称或非对称的加热元件设置。因此,可移动加热元件可以用于消除增加或减少温度的非对称形状区。除了可以移动之外,提供给每个加热元件的能量是可以改变的,以消除局部加热点或冷却点。
此外,如果一个加热元件840失败,则可对剩余的加热元件840重新布置,从而至少部分地补偿失去的加热元件。除了可移动之外,还可以改变提供给每个加热元件的能量,从而增加剩余加热元件中与失败的加热元件最近的加热元件的加热能量。
图8B显示了其中加热元件840中的一个的控制示意图。图8B仅显示了一个加热元件840,但是本领域普通技术人员应当理解,剩余的加热元件840也可以以如图8B所示的相同方法进行控制。
对应的驱动单元842与每个对应的可移动加热元件840连接,以驱动和加热台801的晶片捏合(wafer-engaging)表面平行的平面内的加热元件。可以使用各种电可控XY台,例如但不限于适用于分档器的XY台。驱动单元842提供沿两个垂直方向RX和RY的移动范围。
控制器850包括XY驱动马达控制器852,XY驱动马达控制器852是用来控制独立调整至可移动加热元件840位置的处理(或模块)。XY控制器850还包括用来控制提供到每个加热元件840的能量的第二处理(或模块)854。
控制器850还可以包括处理器,处理器接收反馈信号并计算每个可移动加热元件840的理想位置和加热能量。位置调整是受限的,这样可移动加热元件840不会互相碰撞。例如,每个元件840从其默认位置(其移动范围的中心)的移动可以被限制为小于两个相邻XY台842的最靠近表面之间距离的一半(当两个相邻XY台842在默认位置时)。
在其他实施例中,控制器850具有一个或更多的表格,该表格用来提供加热元件位置的预定配置以及多个反馈场合的能量等级。
图9为光刻系统的方框图,在该系统中可以使用加热台801。该系统包括涂层机902(例如加拿大Santa Clara,Site Services Inc.销售的商标为Tractrix的旋涂工具),该涂层机902用于将光致抗蚀剂提供到衬底上。扫描器904穿过掩模将光致抗蚀剂进行曝光,以形成预期的图案。在曝光后,显影机906提供溶液以将光致抗蚀剂的期望部分进行硬化。扫描电子显微镜908测量在晶片上多个位置的图案临界尺寸,并确定临界尺寸均匀性。可将SEM 908集成到显影机中。抗蚀剂910将光致抗蚀剂上不想要的部分以及衬底中的下层膜除去。
如图9所示,可以以两种不同的方法或者两种方法之一调整该工艺。涂层机902中加热台801的加热元件840可以被调整来增加或减少局部温度,从而调整提供的光致抗蚀剂膜的均匀性。对涂层机902中晶片局部温度的调整导致涂层机中淀积的光致抗蚀剂厚度的局部调整。
可选择地,可以调整显影机906中加热台801的加热元件840。在曝光后烘烤(PEB)过程中,已被曝光的正光致抗蚀剂部分变得可溶。通过调整PEB过程中晶片上的局部温度,光致抗蚀剂的期望部分更均匀地呈现可溶性,从而有利于临界尺寸的均匀性。
图10为图9的系统的控制示意图。
对于进入的晶片,可以在将光致蚀刻剂提供到衬底上之前,由SEM测量提供初始值。例如,衬底可以已经具有通过之前处理步骤形成的图案。可以测量这些图案的临界尺寸,并且能够识别这些临界尺寸中的任何变化。在此步骤中能够识别晶片中的任何结构特征。初始的SEM测量被用作用于该工艺的前馈信息。
在节点912处,将前馈信息与目标临界尺寸数据进行比较,以判断该工艺的初始期望偏差。该信息用于初步限定输入到温度区的理想热量。这个输入由控制器850执行。
控制器850利用上述参考图8A和图8B描述的方式操作加热元件840。这些热量输入对图9所示的处理设备的运行产生影响。控制器可以包括嵌入式比例积分微分(PID)控制装置,该嵌入式比例积分微分(PID)控制装置根据目标临界尺寸与输入到控制器的临界尺寸之间的偏差改变加热器的能量。
在节点914处,将晶片从显影机输出,并且SEM临界尺寸数据被馈送到模块916中。模块916接收来自多个位置的临界尺寸数据,并且确定一系列加热元件位置以及加热能量等级,以改善光致抗蚀剂的厚度和/或CDU。
例如,模块916可以(根据临界尺寸数据)确定晶片中的冷却点,并且设定每个加热元件840尽可能地向最近的冷却点移动。然后,估计即将提供到每个加热元件840的加热能量。位于模块916中的热组件(图中未显示)能够根据热量输入值对分布在整个晶片上的温度进行计算。然后可将温度分布输入到临界尺寸组件(图中未显示),临界尺寸组件根据估计的温度分布来预测晶片上多个位置的临界尺寸。如果预测的临界尺寸均匀性位于收敛性判别标准中,则该模块能向控制器850输出该系列的加热元件位置以及能量等级,用于下一工艺运行中采用。如果没有满足收敛性判别标准,则接着通过使用不同系列的加热器输出能量等级重新运行温度分布预测和临界尺寸分布方案,模块916可以执行额外的重复。在多次重复之后,如果这些系列的加热器位置和能量等级中没有一个满足模块的收敛性判别标准,则可以选择提供最好预测临界尺寸的系列位置和加热能量。在一些实施例中,自动处理控制器将根据从节点914和912的输入计算预测临界尺寸。临界尺寸平均值可以通过使用分档器调整曝光射线剂量来进行补偿,并且可以通过具有该灵活温度控制单元的加热台控制临界尺寸均匀性(CDU)。
图11为使用图8A和8B所示设备的方法流程图,图11描述该工艺为只要提供晶片就重复执行的当前工艺。
在步骤1100中,提供有第N个半导体衬底(晶片),其中N为整数。由加热台支撑该第N个晶片。该第N个半导体晶片可以已经经历先前的制造工艺,并可以具有形成在上面的图案。
在步骤1102中,可以进行第N个晶片的初始SEM测量,以向处理进行前反馈。
步骤1104和1106均在涂层机902的加热台中执行。在步骤1104中,独立调整加热台801的各个加热元件840的位置。如果是执行第一工艺(第一晶片),则可以使用一系列的默认位置(例如,每个加热元件840移动范围的中心)。如果第N个晶片为第二个或者后续的晶片,则根据第N-1个晶片的计量(SEM)1116输出的临界尺寸反馈数据调整用于第N个晶片的位置。(在可选择的实施例中,如果每次处理预定数量的晶片后,或者每当固定周期时间过去后进行调整,则该调整可以基于从另一个最近的先前处理的晶片的临界尺寸反馈。)
在步骤1106中,对提供到加热台801每个单独加热元件840的加热能量进行调整。如果是第一次工艺运行(第一晶片),则可以使用一系列的默认能量等级(例如平均预期的加热能量)。如果第N个半导体晶片为第二个或者后续的晶片,则根据第N-1个半导体晶片(或者用于决定位置调整的其他最近的先前晶片)的计量(SEM)1116输出的临界尺寸反馈数据调整用于第N个晶片的加热能量。
在步骤1108中,当加热器840以预期能量等级将热量提供到预期位置时,将第N个半导体晶片涂覆光致抗蚀剂。
在步骤1110中,将第N个半导体晶片在扫描器中曝光。
在步骤1112中,执行PEB以活化在光致抗蚀剂(resisit)曝光过程中产生的光酸。光酸攻击在自催化序列中光致抗蚀剂的键,使得它们在显影溶液中可溶解。将热量提供到在步骤1104中确定的位置。
在步骤1114中,提供有显影化学剂。将光致抗蚀剂中呈现可溶性的部分除去。
在步骤1116中,SEM对由加热台支撑的第N个半导体晶片上的多个位置进行临界尺寸测量。将第N个晶片的扫描电子显微镜输出的临界尺寸反馈提供给模块用于处理第N+1个晶片,其中该模块生成步骤1104和1106下一次重复中即将使用的一系列新的加热元件位置和能量等级。
图12为图11所示方法的变化流程图,其中在PEB步骤中被控制和调整加热台温度。
在步骤1200中,提供有第N个半导体衬底(晶片),其中N为整数。第N个晶片由加热台支撑。第N个晶片可以已经经历先前的制造工艺,且其上面可以形成有图案。
在步骤1202中,可以对第N个晶片进行初始SEM测量,以向处理进行前反馈。
在步骤1204中,利用光致抗蚀剂涂覆第N个晶片。
在步骤1206中,在扫描机中曝光第N个晶片。
步骤1208和1210均在PEB之前和过程中,并在显影机902的加热台中执行。在步骤1208中,独立调整加热台801的各个加热元件840的位置。如果是第一次工艺运行(第一晶片),则可以使用一系列的默认位置(例如,每个加热元件840移动范围的中心)。如果第N个晶片为第二个或者后续的晶片,则根据第N-1个晶片的计量(SEM)1216输出的临界尺寸反馈数据调整用于第N个晶片的位置。(在可选择的实施例中,如果每次处理预定数量的晶片后,或者每当固定周期时间过去后进行调整,则该调整可以基于另一个最近的先前处理的晶片的临界尺寸反馈。)
在步骤1210中,调整提供到加热台801的每个单独加热元件840的加热能量。如果是第一次工艺运行(第一晶片),则可以使用一系列的默认能量等级(例如平均预期的加热能量)。如果第N个晶片为第二个或者后续的晶片,则根据第N-1个晶片(或者用于决定位置调整的其他最近的先前晶片)的计量(SEM)1116输出的临界尺寸反馈数据调整用于第N个晶片的加热能量。
在步骤1212中,执行PEB以活化在光阻曝光过程中产生的光酸。由加热器840以预期的位置和能量等级将热量提供到在步骤1208中确定的位置。
在步骤1214中,提供有显影化学剂。将光致抗蚀剂中呈现可溶性的部分除去。
在步骤1216中,SEM对由加热台支撑的第N个晶片上的多个位置的临界尺寸进行测量。将第N个晶片的SEM输出的临界尺寸反馈提供给模块用于处理第N+1个晶片,其中模块产生在步骤1208和1210下一次重复中即将使用的一系列新的加热元件位置和能量等级。
图13为具有不同加热结构的可选择的加热台的示意图。该实施例中提供了大量独立控制的加热元件1340,以代替提供可移动的加热元件840(如结合图8B所描述的)。加热元件1340可以是位置固定的电阻元件。加热元件1340的大小可以充分小,并且加热元件的数量足够大,从而可以电学地进行加热调整,来代替机械地加热调整。通过选择和取消选择任意子集的加热元件1340,加热台1301对热量提供到衬底的位置进行独立地调整。能够改变提供到每个有源加热元件的能量以调整温度,如上文结合图8B的描述。
使用图8A-13的设备使得能够实现晶片工艺中控制,并且改善了涂覆和PEB步骤,从而改善光致抗蚀剂的厚度均匀性和CDU。
上文已描述本发明的设备和方法关于从第一次工艺运行的晶片上不同位置采集数据,通过自动处理控制对用于各个位置的合适装置或工艺的设置进行计算,并且在另一个晶片上执行调整的工艺。
虽然结合上述实施例对本发明进行描述,但是本发明不局限于该具体实施例。确切地,所附权利要求应当被广泛构造来包含本发明的其他变化和实施例,这些变化和实施例可以由本领域的普通技术人员在不脱离本发明等同保护范围的前提下实现。

Claims (15)

1.一种方法,包括:
在位于第一半导体衬底上的薄膜材料的第一薄膜中蚀刻电路图案;
在多个位置对所述电路图案的临界尺寸(CD)进行测量;
基于所述测量的临界尺寸,对形成在第二半导体衬底上所述薄膜材料的第二薄膜的单晶片室进行调整,从而局部地调整所述第二薄膜的厚度;以及
使用所述调整单晶片室在所述第二半导体衬底上形成所述第二薄膜。
2.如权利要求1所述的方法,还包括:
对加热滚筒的多个温度区进行单独控制,所述加热滚筒支撑位于所述单晶片室中的所述第二半导体衬底,所述第二半导体衬底中淀积有所述第二薄膜。
3.如权利要求2所述的方法,其中,所述多个温度区具有各自不同的加热元件,并且所述控制步骤包括分别控制向所述加热元件提供的能量。
4.如权利要求1所述的方法,其中,所述调整步骤包括:
如果所述第一薄膜的临界尺寸小于预期尺寸,则增加所述衬底温度区中的加热能量,以增加所述第二薄膜的厚度;以及
如果所述第一薄膜的临界尺寸大于所述预期尺寸,则减少所述温度区中的加热能量,以减少所述第二薄膜的厚度。
5.一种设备,包括:
控制器,所述控制器用于接收第一半导体衬底上在电路图案中各个位置的多个临界尺寸测量,所述电路图案是由蚀刻包含薄膜材料的薄膜得到的;
单晶片室,所述单晶片室用于在第二半导体衬底上形成所述薄膜材料的第二薄膜,所述单晶片室响应来自所述控制器的控制信号,以根据所述临界尺寸测量对所述第二薄膜的厚度进行局部调整。
6.如权利要求5所述的设备,其中,所述单晶片室具有支撑所述第二半导体衬底的滚筒,所述滚筒具有多个独立可控的温度区。
7.如权利要求6所述的设备,其中所述滚筒的每个温度区上具有至少一个加热元件,且所述处理器被配置用来控制所述加热元件执行以下操作,
如果所述第一薄膜的临界尺寸小于预期尺寸,则增加所述温度区中的加热能量,以增加所述第二薄膜的厚度;以及
如果所述第一薄膜的临界尺寸大于所述预期尺寸,则减少所述温度区中的加热能量,以减少所述第二薄膜的厚度。
8.一种设备,包括:
处理室,所述处理室被配置用于执行衬底涂覆或光致抗蚀剂显影的步骤,所述处理室具有用来支撑半导体衬底的加热台,所述加热台具有多个独立可移动的加热元件;
控制器,所述控制器用于控制对所述可移动加热元件位置的独立调整。
9.如权利要求8所述的设备,还包括与每个对应可移动加热元件连接的对应驱动单元,以驱动位于和所述加热台晶片捏合表面平行的平面内的加热元件。
10.如权利要求9所述的设备,其中,每个对应驱动单元被配置用于沿着两个垂直的方向移动其对应的加热元件。
11.如权利要求8所述的设备,其中,所述控制器对在所述衬底上多个位置测量的临界尺寸测量响应,以基于所述反馈信号进行初步独立调整。
12.一种方法,包括:
测量由加热台支撑的第一半导体衬底上多个位置的临界尺寸;
基于所述测量临界尺寸,通过所述加热台上多个独立可控加热元件独立地调整提供热量到第二衬底的位置;以及
在对所述第二衬底进行涂覆或者对所述第二衬底上光致抗蚀剂进行显影的同时,在所述位置将热量提供到所述第二衬底。
13.如权利要求12所述的方法,还包括对提供到每个所述加热元件的能量进行独立调整。
14.一种方法,包括:
对由滚筒或静电盘支撑的半导体晶片上执行离子注入工艺;以及
将第一和第二不同的冷却剂流体提供到位于所述滚筒或静电盘中或者与所述滚筒或静电盘邻近的对应第一和第二流体管道中,所述第一和第二流体管道对应所述滚筒或静电盘的第一和第二区域,从而在所述离子注入工艺中对邻近所述滚筒或静电盘的第一和第二区域的所述晶片的第一和第二部分的对应晶片温度进行独立控制。
15.如权利要求14所述的方法,还包括对以对应不同的温度向所述第一和第二区域的所述第一和第二冷却剂的供应进行独立控制。
CN 200910252738 2009-02-13 2009-12-09 半导体晶片的多区域温度控制 Pending CN101807512A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/370,746 2009-02-13
US12/370,746 US8404572B2 (en) 2009-02-13 2009-02-13 Multi-zone temperature control for semiconductor wafer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201210022051.5A Division CN102593025B (zh) 2009-02-13 2009-12-09 半导体晶片的多区域温度控制

Publications (1)

Publication Number Publication Date
CN101807512A true CN101807512A (zh) 2010-08-18

Family

ID=42560282

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201210022051.5A Expired - Fee Related CN102593025B (zh) 2009-02-13 2009-12-09 半导体晶片的多区域温度控制
CN 200910252738 Pending CN101807512A (zh) 2009-02-13 2009-12-09 半导体晶片的多区域温度控制

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201210022051.5A Expired - Fee Related CN102593025B (zh) 2009-02-13 2009-12-09 半导体晶片的多区域温度控制

Country Status (2)

Country Link
US (2) US8404572B2 (zh)
CN (2) CN102593025B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102566327A (zh) * 2010-12-08 2012-07-11 无锡华润上华科技有限公司 显影均匀性调试方法
CN104131268A (zh) * 2013-05-03 2014-11-05 北京北方微电子基地设备工艺研究中心有限责任公司 分区域加热方法、装置和半导体设备
CN107881490A (zh) * 2016-09-30 2018-04-06 泰姆普雷斯艾普公司 化学气相沉积装置及其用途
CN108766908A (zh) * 2018-05-21 2018-11-06 陈涛 一种晶圆离子注入方法
CN108958213A (zh) * 2017-05-25 2018-12-07 阿自倍尔株式会社 控制器调整系统以及调整方法
CN111446198A (zh) * 2020-03-23 2020-07-24 北京北方华创微电子装备有限公司 静电卡盘及其控制方法
CN112040571A (zh) * 2020-08-27 2020-12-04 上海华力集成电路制造有限公司 光刻热板动态温度控制光刻胶膜厚的方法
CN112771354A (zh) * 2018-09-28 2021-05-07 美特拉斯有限公司 用于控制半导体晶片温度的方法和设备
CN113960884A (zh) * 2020-07-21 2022-01-21 长鑫存储技术有限公司 温度调控系统及温度调控方法

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US9165804B2 (en) * 2011-04-29 2015-10-20 Applied Materials, Inc. Methods of cooling process chamber components
SG188036A1 (en) 2011-08-18 2013-03-28 Asml Netherlands Bv Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9465049B2 (en) * 2012-04-13 2016-10-11 James B. Colvin Apparatus and method for electronic sample preparation
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US20140167795A1 (en) * 2012-12-14 2014-06-19 Texas Instruments Incorporated Active feedback silicon failure analysis die temperature control system
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
EP3514700A1 (en) * 2013-02-20 2019-07-24 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
CN104681380B (zh) * 2013-11-29 2017-07-07 中微半导体设备(上海)有限公司 一种静电卡盘及其等离子体处理室
US9716022B2 (en) 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
CN103760753B (zh) * 2013-12-31 2017-04-12 深圳市华星光电技术有限公司 基板烘烤装置及其温度调节方法
JP6256245B2 (ja) * 2014-07-31 2018-01-10 株式会社Gsユアサ 電源パック
KR20160045299A (ko) 2014-10-17 2016-04-27 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 연계 처리 시스템 및 기판 처리 방법
JP6806704B2 (ja) * 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10622268B2 (en) * 2015-12-08 2020-04-14 Infineon Technologies Ag Apparatus and method for ion implantation
JP6792368B2 (ja) * 2016-07-25 2020-11-25 株式会社Screenホールディングス 熱処理装置、基板処理装置および熱処理方法
US10655226B2 (en) 2017-05-26 2020-05-19 Applied Materials, Inc. Apparatus and methods to improve ALD uniformity
US10509321B2 (en) * 2018-01-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature controlling apparatus and method for forming coating layer
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
KR102244438B1 (ko) * 2018-12-17 2021-04-27 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 플라즈마 처리 장치에 사용되는 rf 전극 조립품 및 플라즈마 처리 장치
US11367645B2 (en) 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
KR102634916B1 (ko) 2019-08-29 2024-02-06 주식회사 엘지에너지솔루션 온도 추정 모델 결정 방법 및 장치, 온도 추정 모델이 적용된 배터리 관리 시스템
US20220170159A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Multi-zone heater tuning in substrate heater
CN113337803B (zh) * 2021-06-07 2022-11-15 京东方科技集团股份有限公司 一种蒸镀载板、蒸镀装置及蒸镀方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155337A (en) * 1989-12-21 1992-10-13 North Carolina State University Method and apparatus for controlling rapid thermal processing systems
US5296385A (en) * 1991-12-31 1994-03-22 Texas Instruments Incorporated Conditioning of semiconductor wafers for uniform and repeatable rapid thermal processing
US5618461A (en) * 1994-11-30 1997-04-08 Micron Technology, Inc. Reflectance method for accurate process calibration in semiconductor wafer heat treatment
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
US5861609A (en) * 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6617553B2 (en) * 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
FR2815395B1 (fr) * 2000-10-13 2004-06-18 Joint Industrial Processors For Electronics Dispositif de chauffage rapide et uniforme d'un substrat par rayonnement infrarouge
KR100839678B1 (ko) * 2001-02-16 2008-06-19 도쿄엘렉트론가부시키가이샤 열 처리 방법 및 열 처리 장치
US7195693B2 (en) * 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP4647401B2 (ja) * 2005-06-06 2011-03-09 東京エレクトロン株式会社 基板保持台、基板温度制御装置及び基板温度制御方法
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US7534627B2 (en) * 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
US20090017229A1 (en) * 2007-07-10 2009-01-15 Varian Semiconductor Equipment Associates, Inc. Processing System Platen having a Variable Thermal Conductivity Profile
US7939450B2 (en) * 2007-09-21 2011-05-10 Tokyo Electron Limited Method and apparatus for spacer-optimization (S-O)
US20100181501A1 (en) * 2009-01-21 2010-07-22 Pollock John D Apparatus for sub-zero degree c ion implantation

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102566327A (zh) * 2010-12-08 2012-07-11 无锡华润上华科技有限公司 显影均匀性调试方法
CN102566327B (zh) * 2010-12-08 2016-06-08 无锡华润上华科技有限公司 显影均匀性调试方法
CN104131268A (zh) * 2013-05-03 2014-11-05 北京北方微电子基地设备工艺研究中心有限责任公司 分区域加热方法、装置和半导体设备
CN107881490A (zh) * 2016-09-30 2018-04-06 泰姆普雷斯艾普公司 化学气相沉积装置及其用途
CN107881490B9 (zh) * 2016-09-30 2022-02-18 泰姆普雷斯艾普公司 化学气相沉积装置及其用途
CN107881490B (zh) * 2016-09-30 2021-11-19 泰姆普雷斯艾普公司 化学气相沉积装置及其用途
CN108958213A (zh) * 2017-05-25 2018-12-07 阿自倍尔株式会社 控制器调整系统以及调整方法
CN108958213B (zh) * 2017-05-25 2021-03-23 阿自倍尔株式会社 控制器调整系统以及调整方法
CN108766908B (zh) * 2018-05-21 2020-12-15 徐丹 一种晶圆离子注入方法
CN108766908A (zh) * 2018-05-21 2018-11-06 陈涛 一种晶圆离子注入方法
CN112771354A (zh) * 2018-09-28 2021-05-07 美特拉斯有限公司 用于控制半导体晶片温度的方法和设备
CN111446198A (zh) * 2020-03-23 2020-07-24 北京北方华创微电子装备有限公司 静电卡盘及其控制方法
CN111446198B (zh) * 2020-03-23 2023-05-16 北京北方华创微电子装备有限公司 静电卡盘及其控制方法
CN113960884A (zh) * 2020-07-21 2022-01-21 长鑫存储技术有限公司 温度调控系统及温度调控方法
CN113960884B (zh) * 2020-07-21 2024-05-14 长鑫存储技术有限公司 温度调控系统及温度调控方法
CN112040571A (zh) * 2020-08-27 2020-12-04 上海华力集成电路制造有限公司 光刻热板动态温度控制光刻胶膜厚的方法

Also Published As

Publication number Publication date
CN102593025A (zh) 2012-07-18
US8404572B2 (en) 2013-03-26
US20100210041A1 (en) 2010-08-19
CN102593025B (zh) 2015-01-07
US20130171746A1 (en) 2013-07-04
US9023664B2 (en) 2015-05-05

Similar Documents

Publication Publication Date Title
CN102593025B (zh) 半导体晶片的多区域温度控制
US10113233B2 (en) Multi-zone temperature control for semiconductor wafer
US20200219740A1 (en) Plasma processing apparatus and heater temperature control method
CN104040710B (zh) 用于均匀传热的自适应传热方法和系统
CN100464927C (zh) 用于衬底的温度控制的方法和系统
KR101526615B1 (ko) 처리 균일성 제어 방법, 플라즈마 처리 장치 및 기판 국소 변형 방법
JP3130205U (ja) 高アスペクト比特徴部をエッチングするのに適している基板支持体
WO2010053173A1 (ja) 半導体ウェーハの温度制御装置および温度制御方法
TWI495752B (zh) 具有可作為溫度控制用之流體區的工作支承
US7576018B2 (en) Method for flexing a substrate during processing
TW201519359A (zh) 可調溫度控制靜電夾組件
TWI406348B (zh) 基於改善基板內之製程均勻性目的之動態溫度背側氣體控制
US20060283549A1 (en) Plasma processing apparatus and method capable of adjusting temperature within sample table
JP2010500762A (ja) トラックリソグラフィツールにおける臨界寸法の制御方法およびシステム
US20060228818A1 (en) Edge temperature compensation in thermal processing particularly useful for SOI wafers
WO2006022997A2 (en) Method and system for substrate temperature profile control
JP2008186856A (ja) プラズマ処理装置及びプラズマ処理方法
US20080223873A1 (en) Dynamic control of process chemistry for improved within-substrate process uniformity
US20220328291A1 (en) Apparatus and method for plasma etching
US20070056512A1 (en) Rapid cooling system for RTP chamber
WO2021126889A1 (en) Surface profiling and texturing of chamber components
KR102639158B1 (ko) 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US20220243323A1 (en) Use of rotation to correct for azimuthal non-uniformities in semiconductor substrate processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20100818