CN101696494A - 反应物沉积方法 - Google Patents

反应物沉积方法 Download PDF

Info

Publication number
CN101696494A
CN101696494A CN200910204154A CN200910204154A CN101696494A CN 101696494 A CN101696494 A CN 101696494A CN 200910204154 A CN200910204154 A CN 200910204154A CN 200910204154 A CN200910204154 A CN 200910204154A CN 101696494 A CN101696494 A CN 101696494A
Authority
CN
China
Prior art keywords
reactant
reaction compartment
reactor
flow
excessive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910204154A
Other languages
English (en)
Other versions
CN101696494B (zh
Inventor
金大渊
李政镐
刘龙珉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Korea Corporation
Original Assignee
Genitech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genitech Co Ltd filed Critical Genitech Co Ltd
Publication of CN101696494A publication Critical patent/CN101696494A/zh
Application granted granted Critical
Publication of CN101696494B publication Critical patent/CN101696494B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/10Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

一种将反应物沉积到反应空间内的衬底上的方法,方法包含多个原子层沉积循环,每个循环包括:将第一反应物供应到反应空间;使第一反应物与衬底的表面反应;从反应空间除去过量的第一反应物;将第二反应物供应到反应空间;使第二反应物与衬底的表面反应;和从反应空间除去过量的第二反应物。

Description

反应物沉积方法
本申请是申请日为2006年5月4日,申请号为200680015719.6,发明名称为“多入口原子层沉积反应器”的中国专利申请的分案申请。
技术领域
本发明涉及一种将反应物沉积到反应空间内的衬底上的方法,和用于在衬底表面上生长薄膜的装置。更为具体地,本发明涉及一种装置,其通过使衬底经历交替重复的气相反应物的表面反应在衬底的表面上产生薄膜。
背景技术
本申请要求2005年5月9日向韩国知识产权局提交的韩国专利申请No.2005-0038606的优先权,其公开的内容在此通过引用并入本文。本申请还涉及2003年4月1日公布的题为“CHEMICALDEPOSITION REACTOR AND METHOD OF FORMING ATHIN FILM USING THE SAME”的美国专利No.6,539,891,其公开的内容在此通过引用并入本文。
在半导体器件的生产中,已经开发各种方法和装置用于在衬底上提供高质量薄膜。几种采用半导体衬底表面反应的方法已经用于形成薄膜。这些方法包括真空蒸发沉积、分子束外延(MBE)、化学气相沉积(CVD)的不同变化(包括低压和有机金属CVD和等离子体增强CVD)和原子层外延(ALE)。ALE曾被广泛研究用于半导体沉积和电致发光显示器应用,并且最近被称为用于沉积各种材料的原子层沉积(ALD)。
ALD是一种通过将各种前体物质顺序引到衬底,在衬底的表面上沉积薄膜的方法。其生长机理倾向于依赖第一前体在衬底活性位点上的吸附。条件是使得形成不超过一个单层,从而自动终止该过程。在将衬底暴露于第一前体之后通常是清洗阶段或其它除去过程(例如“抽真空”),其中从反应室中除去任何过量的第一前体以及任何反应副产物。然后将第二前体引入反应室,其此期间其与第一前体反应,并且该反应形成期望的薄膜。一旦吸附在衬底上的可用第一前体物质全部反应,则反应终止。然后进行第二清洗或其它除去阶段,除去反应室中任何残留的第二前体或可能的反应副产物。可以重复这个循环以使得膜生长到期望的厚度。该循环也可以更复杂。例如,该循环可以包括通过清洗或其它去除步骤分开的三个或更多的反应物脉冲。
发明内容
有益效果
设计用于CVD的常规反应器不适用于高效ALD,这是因为这种反应器设计为同时将反应物引入其反应室。此外,在其中将反应物向下引到衬底上的反应器中,通常在反应物的入口和衬底之间使用喷淋头,以在衬底上提供均匀分布的流动。但是,这种结构使反应物的流动变得复杂,并且需要大型反应器,从而使反应物气体的快速切换变得困难。
因此,需要提供一种适用于ALD的反应器,其允许一种反应物快速切换到另一种反应物,同时形成高质量薄膜。
本发明的一个方面提供了原子层沉积反应器。所述反应器包括:反应室,其包含反应空间;多个入口;排出口;和气体流动控制引导结构。该气体流动控制引导结构位于反应空间之上。气体流动控制引导结构夹在多个入口和反应空间之间。气体流动控制引导结构包含多个通道。多个通道中的每一个从相应的多个入口之一延伸到反应空间外围的第一部分。随着通道从入口延伸到反应空间,所述多个通道的每一个变宽。所述反应器还包括衬底架,放置所述衬底架以将支承的衬底暴露于反应空间。
本发明的另一方面提供了原子层沉积(ALD)反应器。所述反应器包括:反应器盖,其包含排出口和多个入口。反应器还包括具有衬底架的反应器底座。反应器底座和反应器盖配置用于限定反应室。反应室包含反应空间。反应空间包括上游外缘和位于上游外缘相对侧的下游外缘。反应器还包括多个位于反应室内的气体流动控制板。所述多个气体流动控制板位于反应空间之上。多个气体流动控制板相互堆叠。多个气体流动控制板中的每一个至少部分限定流入通道,所述通道配置用于将通过多个入口之一提供的反应物引导到反应空间的上游外缘。
本发明的又一方面提供了一种将反应物沉积到反应空间内的衬底上的方法。反应空间包括上游外缘和下游外缘。该方法包括多个原子层沉积循环,每个循环包括:将第一反应物供应到反应空间;使第一反应物与衬底的表面反应;从反应空间除去过量的第一反应物;将第二反应物供应到反应空间;使第二反应物与衬底的表面反应;和从反应空间除去过量的第二反应物。供应第一反应物依次包括:使第一反应物在第一垂直水平向反应空间的上游外缘水平地向外流动,同时使得第一反应物的第一流动路径变宽,使第一反应物垂直地流到上游外缘并流入反应空间。供应第二反应物依次包括:使第二反应物在第二垂直水平向反应空间的上游外缘水平流动,同时使得第二反应物的第二流动路径变宽,使第二反应物从第二垂直水平垂直地流到上游外缘并流入反应空间。
本发明的又另一方面提供了组装原子层沉积(ALD)反应器的方法。在该方法中,提供包括顶板和侧壁的反应器盖。顶板包括多个入口并限定反应室的上表面。侧壁限定反应室的侧面。反应室包含反应空间。然后,将气体流动控制引导结构放入反应室中,使得气体流动控制引导结构的至少一部分接触反应室的上表面。气体流动控制引导结构包含多个流入通道。多个流入通道中的每一个从相应的多个入口之一延伸到反应空间外围的第一部分。然后,提供反应器的底座以与反应器盖的侧壁密封接触,使得反应器底座的上表面和气体流动控制引导结构的下表面限定反应空间。
本发明的另一方面还涉及以下项目:
1.一种原子层沉积(ALD)反应器,其包括:
反应室,其包含反应空间;
多个入口;
排出口;
位于所述反应空间上方的气体流动控制引导结构,所述气体流动控制引导结构夹在所述多个入口和所述反应空间之间,所述气体流动控制引导结构包含多个通道,所述多个通道的每一个从相应的所述多个入口之一延伸到所述反应空间外缘的第一部分,随着所述通道从所述入口向所述反应空间延伸,所述多个通道的每一个变宽;和
衬底架,设置所述衬底架以将支承的衬底暴露于所述反应空间。
2.项目1的反应器,其中所述多个入口位于所述反应室顶部。
3.项目1的反应器,其中所述多个入口位于所述反应空间的中央部分的上方,并且其中所述多个通道中的每一个从所述中央部分的上方径向向外延伸至所述反应空间的外缘的第一部分上方。
4.项目1的反应器,其中所述气体流动控制引导结构的下表面和所述衬底架的上表面配置用于限定所述反应空间。
5.项目1的反应器,其中所述气体流动控制引导结构包含多个相互堆叠的气体流动控制板,并且其中所述多个气体流动控制板中的每一个限定相应所述多个通道之一的下表面和侧壁。
6.项目5的反应器,其中所述气体流动控制板的每一个包含槽,该槽从所述气体流动控制板的大体中央部分延伸到所述气体流动控制板的外缘的至少一部分,并且其中随着所述槽从所述大体中央部分延伸到所述边缘的至少一部分,所述槽变宽。
7.项目6的反应器,其中所述多个气体流动控制板包含第一气体流动控制板和直接叠放在所述第一气体流动控制板上的第二气体流动控制板,其中所述第一气体流动控制板包含在其上表面上的槽,所述槽从所述第一气体流动控制板的中央部分延伸到所述第一气体流动控制板的边缘的至少一部分,并且其中所述槽和所述第二气体流动控制板的下表面配置用于限定所述多个通道之一。
8.项目5的反应器,其中所述多个气体流动控制板之一包含垂直通孔,并且其中所述多个通道之一通过所述垂直通孔与所述多个入口之一流体连通。
9.项目5的反应器,其中所述气体流动控制引导结构还包括配置用于接地的金属板,所述金属板插入所述多个气体流动控制板的两个之间。
10.项目5的反应器,其中所述多个气体流动控制板的至少一个还限定从所述反应空间延伸到所述排出口的流出通道的下表面和侧壁。
11.项目10的反应器,其中所述排出口的横截面面积等于或大于所述多个入口的总横截面面积。
12.项目10的反应器,其中所述流出通道的横截面面积等于或大于所述多个通道的总横截面面积。
13.项目10的反应器,其中所述多个气体流动控制板包含最上方的气体流动控制板,其中所述最上方的气体流动控制板限定所述流出通道的下表面和侧壁,并且其中所述流出通道从所述反应空间外缘的第二部分上方延伸到所述排出口,所述外缘的第二部分位于所述外缘的第一部分的相对侧。
14.项目13的反应器,其中所述排出口位于所述反应空间中央部分的上方,并且其中所述流出通道从所述反应空间外缘的第二部分上方径向延伸向内到所述反应空间中央部分的上方。
15.项目14的反应器,其中随着所述流出通道从所述反应空间外缘的第二部分上方延伸到所述反应空间中央部分的上方,所述流出通道变窄。
16.项目13的反应器,其中所述最上方的气体流动控制板在其上表面上包含槽,其中所述槽配置用于限定所述流出通道的下表面和侧壁,并且其中随着所述槽从所述反应空间外缘的第二部分上方延伸到所述反应空间中央部分的上方,所述槽变窄。
17.项目13的反应器,其中所述气体流动控制引导结构还包含清洗气通道,其配置用于将清洗气直接供应到所述反应空间外缘的第二部分。
18.项目1的反应器,其中至少一部分所述多个通道水平延伸。
19.项目1的反应器,其中所述多个通道与所述反应空间外缘基本相同的部分流体连通。
20.项目1的反应器,其中所述气体流动控制引导结构还包括在其下表面上的电极,所述电极配置用于在所述反应空间内产生等离子体。
21.项目1的反应器,其中所述排出口位于所述反应室顶部。
22.项目1的反应器,其中所述多个入口中的每一个配置为与惰性气体供给源流体连通。
23.一种原子层沉积(ALD)反应器,其包括:
反应器盖,其包含排出口和多个入口;
反应器底座,其包含衬底架,所述反应器底座和所述反应器盖配置用于限定反应室,所述反应室包含反应空间,所述反应空间包含上游外缘和位于所述上游外缘相对侧的下游外缘;和
多个气体流动控制板,其位于所述反应室内,所述多个气体流动控制板位于所述反应空间的上方,所述多个气体流动控制板相互堆叠,所述多个气体流动控制板的每一个至少部分限定流入通道,所述流入通道配置用于将通过所述多个入口之一供应的反应物引导到所述反应空间的上游外缘。
24.项目23的ALD反应器,其中所述多个气体流动控制板限定从所述反应空间下游外缘延伸到所述排出口的流出通道的下表面和侧壁。
25.项目24的ALD反应器,其中所述流出通道的横截面面积等于或大于所述多个流入通道的总横截面面积。
26.项目25的ALD反应器,其中所述反应器盖包括限定所述反应室上部的反应器盖顶板,其中所述反应器盖顶板包含入口侧和出口侧,所述入口侧覆盖所述流入通道,所述出口侧覆盖所述流出通道,并且其中所述反应器盖顶板在入口侧比在出口侧厚。
27.项目23的ALD反应器,其中所述反应空间配置用于使反应物在衬底架上方沿水平方向从所述上游外缘流到所述下游外缘。
28.项目23的ALD反应器,其中所述多个气体流动控制板包含最下方的气体流动控制板,并且其中所述最下方的气体流动控制板的下表面和所述衬底架的上表面配置用于限定所述反应空间。
29.项目28的ALD反应器,其中所述最下方的气体流动控制板包含在所述最下方的气体流动控制板的下表面上形成的电极。
30.项目23的ALD反应器,其中所述多个气体流动控制板包含最上方的气体流动控制板,其中所述最上方的气体流动控制板在其上表面上包含第一槽,并且其中所述第一槽和所述反应器盖下表面的第一部分配置用于限定流入通道,所述流入通道配置用于将反应物从所述多个入口之一引导到所述反应空间的所述上游外缘。
31.项目30的ALD反应器,其中所述最上方的气体流动控制板在其上表面上包含第二槽,并且其中所述第二槽和所述反应器盖下表面的第二部分配置用于限定流出通道,所述流出通道配置用于将过量的反应物和/或反应副产物从所述反应空间的下游外缘引导到所述排出口。
32.项目23的ALD反应器,还包括外壁,所述外壁配置用于封闭所述反应器盖和所述反应器底座。
33.项目23的ALD反应器,还包括在所述反应器盖上方的气体歧管,所述气体歧管包含与所述多个入口和所述排出口流体连通的多个开口。
34.项目23的ALD反应器,其中所述反应器底座可从所述反应器盖拆下。
35.项目23的ALD反应器,还包含反应器底座驱动器,其配置用于为所述反应器底座提供垂直移动。
36.项目23的ALD反应器,还包括在所述反应器盖和所述反应器底座之间形成的第一惰性气体供应通路,所述第一惰性气体供应通路配置用于将惰性气体供应到所述反应空间的上游外缘。
37.项目23的ALD反应器,还包括在所述反应器盖和所述反应器底座之间形成的第二惰性气体供应通路,所述第二惰性气体供应通路配置用于将惰性气体供应到所述反应空间的下游外缘。
38.一种将反应物沉积到反应空间内的衬底上的方法,所述反应空间包含上游外缘和下游外缘,所述方法包含多个原子层沉积循环,每个循环包括:
将第一反应物供应到所述反应空间,其中所述第一反应物的供应依次包括:使第一反应物在第一垂直水平向所述反应空间的所述上游外缘水平地向外流动,同时加宽所述第一反应物的第一流径,并且使所述第一反应物垂直地流动到所述上游外缘并流入所述反应空间;
使所述第一反应物与所述衬底的表面反应;
从所述反应空间除去过量的第一反应物;
将第二反应物供应到所述反应空间,其中第二反应物的供应依次包括:使第二反应物在第二垂直水平向所述反应空间的所述上游外缘水平地流动,同时加宽所述第二反应物的第二流径,并且使所述第二反应物从所述第二垂直水平垂直地流到所述上游外缘并流入所述反应空间;
使所述第二反应物与所述衬底的表面反应;和
从所述反应空间除去过量的第二反应物。
39.项目38的方法,其中供应所述第一反应物到所述反应空间还包括供应惰性气体到所述第二流径。
40.项目38的方法,其中供应所述第二反应物到所述反应空间还包括供应惰性气体到所述第一流径。
41.项目38的方法,还包括依次重复所述循环至少5次。
42.项目38的方法,还包括在至少一个循环中供应、反应和除去第三反应物。
43.项目38的方法,其中所述反应物的反应包括在所述反应空间中产生等离子体。
44.项目38的方法,其中所述反应空间低于所述第一和第二垂直水平。
45.项目38的方法,其中除去过量的第一反应物包括:
使惰性气体流入所述第一和第二流径两者;
使所述过量的第一反应物从所述反应空间的下游外缘垂直地流出所述反应空间;
使所述过量的第一反应物水平地流动,同时使所述过量反应物的第三流径变窄;和
从所述第三流径排出所述过量的第一反应物。
46.项目38的方法,其中除去所述过量的第一反应物依次包括:
使惰性气体流入所述第一和第二流径两者;
使所述过量的第一反应物从所述反应空间的下游外缘垂直地流出所述反应空间;
使所述过量的第一反应物水平地流动,同时使所述过量反应物的第三流径变窄;和
从所述第三流径排出所述过量的第一反应物。
47.项目46的方法,其中除去所述过量的第二反应物依次包括:
使所述过量的第二反应物从所述反应空间的所述下游外缘垂直地流出所述反应空间;
使所述过量的第二反应物沿变窄的第三流径水平地流动;和
从所述第三流径排出所述过量的第一反应物。
48.一种组装原子层沉积(ALD)反应器的方法,其包括:
提供包含顶板和侧壁的反应器盖,所述顶板包含多个入口,所述顶板限定反应室的上表面,所述侧壁限定所述反应室的侧表面,所述反应室包含反应空间;
将气体流动控制引导结构放入所述反应室,使得所述气体流动控制引导结构的至少一部分接触所述反应室的上表面,所述气体流动控制引导结构包含多个流入通道,所述多个流入通道中的每一个从相应的所述多个入口之一延伸到所述反应空间外缘的第一部分;和
提供与所述反应器盖的侧壁密封接触的反应器底座,使得所述反应器底座的上表面和所述气体流动控制引导结构的下表面限定所述反应空间。
49.项目48的方法,其中所述气体流动控制引导结构包含至少两个互相堆叠的气体流动控制板,并且其中所述至少两个气体流动控制板配置用于分别至少部分限定至少两个流入通道。
50.项目48的方法,其中所述至少两个气体流动控制板中最上方的板配置用于至少部分限定流出通道。
附图说明
图1是包括单个气体流动控制板的现有技术反应器的横断面示意图。
图2是根据一个实施方案的反应器的横断面示意图,所述反应器包括多个反应物入口和多个气体流动控制板。
图3和4是图2中气体流动控制板的示意立体图。
图5是图2中反应器的示意立体图。
图6是根据一个实施方案利用图2中的反应器形成薄膜的方法的流程图。
图7是根据另一个实施方案的具有保护接地板的反应器的横断面示意图。
图8是根据又一个实施方案的包括清洗气体通道的反应器的横断面示意图。
具体实施方式
图1示出适用于将反应物顺序引入反应空间的ALD反应器,与美国专利No.6,539,891公开的反应器类似。在图1中,反应器100包括反应器盖101、反应器底座102和气体流动控制板140。
反应器盖101构成反应器100的上部,并具有顶部封闭的短圆柱状结构。反应器盖101包括反应物入口110和排出口120。反应器盖101侧壁的一部分由盖加热器130围绕。
反应器底座102位于反应器盖101的下方。反应器底座102可以相对于反应器盖101垂直地移动。当反应器底座102与反应器盖101分开时,可以装入或取出衬底150。为了沉积,反应器底座102向上移动,并与反应器盖101密封接触。反应器底座102配置用于与反应器盖101一起限定反应室103。反应器底座102包括衬底架160和衬底加热器170。将要在其上形成薄膜的衬底150安置在衬底架160上。
气体流动控制板140容纳在反应室103内,并连接到反应器盖101。气体流动控制板140的下表面和衬底架160的上表面限定在其中将对衬底150进行加工的反应空间151。气体流动控制板140上表面的一部分和反应器盖101的内部下表面的一部分限定流入通道或通路111,所述流入通道或通路111在入口110和反应空间151的上游外缘151a之间提供流体连通。气体流动控制板140的上表面的其它部分和反应器盖101的内部下表面的其它部分限定流出通道或通路121,所述流出通道或通路121在排出口120和反应空间151的下游外缘151b之间提供流体连通。反应空间151的下游外缘151b位于上游外缘151a的相对侧,如图1所示。气体流动控制板140配置用于引导气流从入口110经过流入通道111、反应空间151和流出通道121流向排出口120。
图1的ALD反应器100配置用于使其反应空间151最小化,以允许一种反应物快速切换到另一种反应物。此外,该反应器使用在反应物到达反应空间内的衬底之前使反应物均匀分布的气体流动控制板。这种结构使反应物在衬底上形成扁平的水平流动。这种构造在衬底上提供了快速的反应物流动,同时允许与衬底发生表面反应。因此,可以最小化反应物和清洗气体的供应时间。因此,可以显著降低形成薄膜的总处理时间。
通过各自的通道将不同的反应物引入反应空间还有助于反应空间内一种反应物到另一种反应物的切换,同时使得在气相中反应物可能相遇的几率最小化。下面将参照附图对本发明的优选实施方案进行说明。在附图中,相同的附图标记表示相同或功能相似的元件。
在一个实施方案中,ALD反应器包括反应室、多个入口、排出口、气体流动控制引导结构、衬底架和外壁。反应室包含在其中将对衬底进行加工的反应空间。多个入口配置用于将反应物从外部的反应物源分别供应到反应空间。气体流动控制引导结构优选夹在多个入口和反应空间之间。气体流动控制引导结构包含多个流入通道或通路,其中多个流入通道或通路的每一个从多个入口之一延伸到反应空间的上游外缘。优选地,多个流入通道的每一个部分由不同的气体流动控制板限定,并随着通道从入口延伸至反应空间而逐渐变宽。
多个流入通道中的每一个配置用于使反应物以扁平的扇形均匀地分布,其末端(示例实施方案的曲线)与反应空间的上游外缘直接流体连通。这种结构允许反应物均匀地分布在衬底上。
图2示出了根据一个实施方案的ALD反应器200。ALD反应器200包含反应器盖201、反应器底座202、反应器底座驱动器292、气体流动控制引导结构205、等离子体发生电极290和外壁298。反应器盖201和反应器底座202可逆地彼此密封接触,并限定反应室。反应室包含在其中对衬底250进行加工的反应空间251。反应空间251限定在反应器底座202的上表面和气体流动控制引导结构205的下表面之间。反应空间251包含向其中引入反应物的上游外缘251a和由其排出过量反应物和反应副产物的下游外缘251b。反应器底座202可从反应器盖201拆下,用以装入或取出衬底250,如在下文更详细说明的。外壁298配置用于以压力密闭的方式容纳反应器盖201和反应器底座202,并且能够通过连接至真空泵的外部排出口299抽真空。
反应器盖201具有一般圆形板状的顶板203和从顶板203的外缘向下延伸的侧壁204。在示例的实施方案中,顶板203和侧壁204整体形成,使得反应器盖201通常具有短的圆柱状形状,其中一端由顶板203封闭。反应器盖201包含第一和第二入口210和212、排出口220和气体歧管215。反应器盖201优选由金属形成。在某些实施方案中,反应器盖201可由陶瓷材料形成。
第一和第二入口210和212优选延伸穿过顶板203,优选穿过顶板203的中央部分。入口210和212与反应物源(未显示)流体连通。第一和第二入口210和212配置用于分别供应第一反应物X和第二反应物Y。优选地,反应物X和Y通过入口210和212以气相引入。反应物X的示例材料为三甲基铝(TMA),而反应物Y的示例材料为H2O,反之亦然。TMA和O2可以分别用作用于等离子体增强ALD(PEALD)的反应物X和Y。在PEALD模式下,将射频(RF)功率脉冲施加到等离子体发生电极290上,以在反应空间251内产生等离子体,同时将O2供应到反应空间251内。类似地,其它金属挥发性物质可以用于沉淀金属氧化物膜。PEALD的气体供应和等离子体脉冲序列记载于美国专利No.6,645,574和美国专利申请公开2004/0009307和2005/0037154,其中公布的内容在此通过引用并入本文。此外,入口210和212与惰性气体源(未图示)流体连通,用于将惰性气体供应到反应空间251内。惰性气体的例子包括氦气、氩气、氙气、氮气等。根据反应物和条件,“惰性”气体可以包括例如氮气、氧气等在较高的温度或等离子体功率下反应的气体。阀可以位于入口210和212的上游,以控制反应物和惰性气体的流动。例如,可利用三通阀为入口210和212的每一个在惰性气体和反应物之间切换气体供应。此外,ALD反应器200优选包括用于控制阀的切换机构。在一个实施方案中,利用计算机交替供应反应物和惰性气体。
反应器盖201也包含延伸穿过顶板203的排出口220。在示例的实施方案中,排出口220位于与入口210和212相邻的顶板230的中央部分。在其它的实施方案中,排出口可以位于顶板203的外缘或反应器盖201的侧壁204上。
此外,反应器盖201包含气体歧管215,在示例的实施方案中其为形成于顶板203中央部分上方的凸缘筒型气体歧管。气体歧管215包含与入口210和212以及排出口220流体连通的垂直通孔。气体歧管215向上延伸到外壁298的外侧。
反应器盖201也包括在反应器盖201外表面上的盖加热器230。盖加热器230配置用于将反应器盖201电阻加热至预定温度,以防止反应物在反应器盖201的内表面上冷凝。为了防止热损失到外壁298,反应器盖201具有到外壁298的最小导热路径,即其通过凸缘筒型气体歧管215固定到外壁298。由于这种结构,即使反应室的内部温度为例如约300℃,外壁298的温度也可以维持在约65℃或更低。另外的加热器(未图示)可以连接到气体歧管215或插入气体歧管215中。在其它的布置中,盖加热器可以位于别处,或反应室可以配置用于吸收远程产生的能量,例如感应热、辐射热、微波能量等。
此外,反应器盖201包括位于反应器盖201与反应器底座202接触处的侧壁204下表面上的环绕惰性气体供应槽280。槽280优选沿反应器盖201和反应器底座202之间的整个接触表面形成。槽280与惰性气体源(未图示)流体连通。侧壁204的内缘配置为与反应器底座202间隔一个沿槽的环形小缝(例如约0.5毫米)280a。使得槽280具有高于反应室工艺压力的气体压力,使得惰性气体能够通过小缝280a均匀地流入反应室。示例的反应器盖201具有配置用于提供惰性气体的惰性气体供应槽280。在沉积期间,惰性气体连续流过小缝280a,以防止在接触区即形成密封机械接触的侧壁204外缘形成薄膜,同时允许反应器底座202从反应器盖201反复分开,以连续装入或取出衬底250。在接触区沉积的薄膜可能在打开和关闭反应室的反复接触和分离期间剥落,这可能在反应室的内部产生污染物颗粒。
尽管没有显示,但反应器盖201还可以包括覆盖衬底250外缘的突起。该突起阻止反应物接触衬底的外缘,从而防止在外缘上形成膜。
反应器底座202包含衬底架260和衬底加热器270。衬底架260配置用于支承衬底250,并且优选具有凹陷,以固定衬底250并仅暴露衬底250的上表面。衬底加热器270整体连接到衬底架260的下表面,并配置用于在沉积过程期间将衬底250加热至预定的温度。衬底架260由金属形成,并优选电接地。本领域技术人员将会理解,根据反应器的设计,反应器底座202的结构和材料可以变化。
反应器底座驱动器292配置用于使反应器底座202沿垂直方向移动。驱动器292包括中央支承销272和垂直移动机构284。中央支承销272位于衬底架260的中央部分,并向下延伸到衬底加热器270的下方,如图2所示。优选地,垂直移动机构284包含三个连接到衬底加热器270底部表面的杆状连接体。在图2中,三个连接体中的一个在视图中看不见。垂直移动机构284配置用于利用例如电动机的驱动装置(未图示)使得反应器底座202垂直运动。
在沉积过程之前或之后,反应器底座202向下移动,与反应器盖201分开,使得反应室打开。当反应器盖打开时,中央支承销272与销配合机构相互作用,以将衬底250与架260分开或将衬底250安装到架260上。当相对于衬底架260提起中央支承销272时,衬底250可以通过在外壁298内的闸阀(未图示)利用机器人装入或取出。
在放置用于沉积的衬底后,中央支承销272向下移动,使得衬底250安装到衬底架260上。然后,反应器底座202通过移动机构284提升到反应器盖201附近,使得反应室关闭。
气体流动控制引导结构205包括上气体流动控制板240和下气体流动控制板242。上气体流动控制板240堆叠在下气体流动控制板242上方。上气体流动控制板240的中央部分连接到反应器盖201的内部底表面。在其它的实施方案中,根据供应到反应器的反应物的数目,气体流动控制引导结构205还可以包括其它气体控制板。气体流动控制板240和242可以安装到反应器盖201中或从反应器盖201中拆除。这种构造使之易于维修和清洗。但是,在某些实施方案中,气体流动控制引导结构可与反应器盖201整体形成,而没有上述可拆卸的气体流动控制板。气体流动控制引导结构205限定第一流入通道211、第二流入通道213和流出通道221,这将在下文详细说明。
等离子体发生电极290配置用于在沉积过程期间在反应空间251内产生等离子体。等离子体发生电极290也可以或作为选择产生用于清洗反应室的等离子体。举例说明的等离子体发生电极290面对衬底架260,优选为下气体流动控制板242的一部分。在另一个实施方案中,等离子体发生电极以板的形式连接到下气体流动控制板242的下表面。等离子体发生电极290由导电材料形成,例如不锈钢、铝、铜、镍、钛或它们的合金。等离子体发生电极290电连接到外部RF功率源(未显示)。示例的电极290电连接到导线291,所述导线291向上延伸到反应器200的外部。导线291由绝缘体291环绕,以在上和下气体流动控制板240和242以及反应器盖201导电的时候,使得导线291与上和下气体流动控制板240和242以及反应器盖201电绝缘。如果不用等离子体,则等离子体发生电极290可以省略。
外壁298配置用于以压力密封的方式封闭反应器盖201和反应器底座202。外壁298包含用于凸缘筒型气体歧管215的上部开口;用于垂直移动机构284的底部开口;用于将外室抽真空并最小化多种反应物交叉污染的颗粒的外部排放孔299;和用于装入和取出晶片的闸阀(未图示)。
参照图3,上气体流动控制板240具有向其中央部分逐渐变窄的第一和第二槽241a和241b。换言之,当槽241a和241b从中央部分向外缘部分延伸时,它们朝上气体流动控制板240的边缘部分变宽。图示的槽241a和241b为圆的扇形的形式。第一槽241a与反应器盖201的部分内部底表面一起限定第一流入通道或通路211(图2),其用于通过第一入口210供应反应物X,如图2所示。第二槽241b与反应器盖201的另一部分内底表面一起限定第二流入通道或通路211(图2),其用于过量的反应物和反应副产物,如图2所示。上气体流动控制板240还有垂直穿过上气体流动控制板240的通孔245。通孔245配置用于与第二入口212(图2)和下气体流动控制板242的槽246(图4)流体连通,其将在下文描述。上气体流动控制板240可由金属或陶瓷材料形成。
在采用多个气体流动控制板的某些实施方案中,除了最下方的板之外,每个气体流动控制板具有至少一个上述的垂直通孔。在一个n块板相互堆叠的实施方案中,从底部起的第n块板具有(n-1)个通孔。例如,当具有三块堆叠的板时,顶板(从底部起的第三块板)具有两个通孔,而中板(从底部起的第二块板)具有一个通孔和一个类似于槽246(图4)的槽。此外,底板没有通孔,但是有一个类似于槽246(图4)的槽。在具有多个通孔的板中,通孔位于不同的水平位置,使得流入通道分别与入口流体连通。此外,堆叠板的通孔垂直对准,以允许流入通道和入口之间流体连通。
上气体流动控制板240还包括槽241a和241b之间或在其周围的固体部240a。固体部240a形成槽241a和241b的侧壁,并配置用于强制使流体从第一入口向外流动,绕过板的外缘,穿过反应空间,绕过另一块板的外缘,然后向内流到排出口。
参照图4,下气体流动控制板242具有向其中央部分逐渐变窄的槽243。槽243为圆的扇形的形式。该槽与上气体流动控制板240的下表面一起限定第二流入通道213(图2),其用于通过第二入口212供应反应物Y,如图2所示。再次参照图4,槽243还延伸到下气体流动控制板242的中央槽246,使得第二流入通道213通过上气体流动控制板240的通孔245与第二入口212流体连通。此外,下气体流动控制板242的下表面和衬底架260的上表面限定其中将加工衬底250的反应空间251。可以调整下气体流动控制板242和衬底架260之间的间隙,以为反应空间251提供最优的体积和电极间距。在一个实施方案中,下气体流动控制板242和衬底架260之间的间隙为约1毫米到约10毫米之间。优选地,下气体流动控制板242由例如陶瓷的绝缘材料形成。本领域技术人员将会理解,根据反应器的设计,气体流动控制板240和242的槽的形状和结构可以变化。
下气体流动控制板242还包括围绕槽243和246的固体部242a。固体部242a形成槽243和246的侧壁,强制使流体从第二入口向外流出,绕过板的外缘,穿过反应空间,绕过另一块板的外缘,然后向内流入上气体流动控制板240限定的排出口。
参照图2和图3,由上气体流动控制板240的第二槽241b限定的流出通道221在朝排出口220向内延伸时变窄。因此,如果气流被限制在排出口220附近的瓶颈区B内,反应气体可以相互反应或沉积到B区内的壁上。在一个实施方案中,排出口220的横截面面积等于或大于第一和第二入口210和212的总横截面面积。此外,流出通道221的横截面面积优选配置为等于或大于流入通道211、213每一个的横截面面积,更优选大于第一和第二流入通道211和213的总横截面面积。如图2中清楚地显示的,反应器盖201的顶板203在排出侧比在入口侧更薄,形成顶很高的流出通道221。这些结构减轻了排出气体在瓶颈区B内的滞留,因而最小化不期望的反应或沉积。
图5示出操作期间反应器200中的反应物和排出气体的流动。在沉积步骤中,通过第一入口210供应反应物X,而通过第二入口212供应惰性气体。反应物X通过第一流入通道211,同时分散为扁平的扇形流动形状。然后反应物X在上气体流动控制板240的边缘处向下流向反应空间的上游外缘。惰性气体以类似于反应物X的方式从第二流入通道213流出。惰性气体防止反应物X进入第二流入通道213。反应物X继续向反应空间流动,到达反应空间的上游外缘。如图5所示,因为用于反应物X和惰性气体的槽241a和213具有与这些板下方的反应空间流体连通的宽口,所以反应物X和惰性气体在进入反应空间时充分展开。这种结构有利于反应物均匀沉积到衬底250上。
然后,如图2所示,反应物X在从上游外缘251a经过反应空间251朝向下游外缘251b的水平方向上,在衬底250上方流动。在下游外缘251b处,例如过量反应物X、惰性气体和任何反应副产物的排出气体经过垂直的排气通道222朝向排出口220向上流动。排出气体流过流出通道221,并通过排出口220排出。如同所示,排出口220具有显著大于任一个入口210、212的宽度或直径,优选大于它们的横截面面积之和。
再次参照图5,在随后的脉冲中,通过第二入口212供应反应物Y,而通过第一入口210供应惰性气体。反应物Y经过上气体流动控制板240的垂直通孔245和下气体流动控制板242的中央槽246流向第二流入通道213。然后,反应物Y以类似于上述反应物X的方式继续流向并穿过反应空间251(图2)。从第一进气槽211的惰性气体流出防止反应物Y进入第一流入通道211。
参照图2和6,描述了利用反应器200沉积薄膜的示例性ALD方法。示出的方法采用两种反应物。但是,在使用多于两种反应物的其它实施方案中,该方法会包括用于每个其它反应物的其它步骤。在那种情况下,优选为ALD配方中的每种其它反应物提供类似于下气体流动控制板242的其它气体流动控制板。
在图6的步骤510中,通过第一入口210供应反应物X,而通过第二入口212供应惰性气体。反应物X经第一流入通道211引导进入反应空间251,同时通过惰性气体防止进入第二流入通道213。这使反应物X吸附在位于反应空间251内的衬底250上。步骤510优选进行足够长的时间,以用反应物X饱和衬底表面。期望该吸附自限制为不超过单分子层。其次,在步骤520中,清洗掉过量的反应物X和任何反应副产物(或以别的方式除去)。优选的清洗步骤通过经由第一和第二入口210和212同时供应清洗或惰性气体来进行。
然后,在步骤530中,通过第二入口212供应反应物Y,而通过第一入口210供应惰性气体。反应物Y通过第二流入通道213引导进入反应空间251,同时通过由第一流入通道211流出的惰性气体防止进入第一流入通道211。这使反应物Y与衬底上吸附的反应物X的物质或片段反应。任选地,当供应反应物Y时,可以通过激活电极290而直接在衬底250上产生等离子体,如步骤540所示。步骤540进行足够长的时间,使得吸附的单层完全反应。当不用等离子体并省略步骤540时,步骤530进行足够长的时间,使得吸附的单层完全反应。
然后,在步骤550中,清洗掉过量的反应物Y和任何反应副产物。该清洗步骤550通过经由第一和第二入口210和212同时供应清洗或惰性气体进行。然后,在步骤560中,如果需要其它的沉积,重复步骤510到550多个循环。优选地,步骤510~550依次重复至少5次。否则沉积完成。在上述步骤期间,位于入口210和212上游的阀用于控制反应物和惰性气体的供应。
在另一个实施方案中,如果反应物X和Y彼此不发生热反应,则可以连续供应反应物Y。例如,通过第二入口212连续供应氧气或其与惰性气体的混合物,同时通过第一入口210脉冲供应TMA。在该实施方案中,可以省略步骤530,并且重复步骤510、520、540和550。在步骤510中,通过第一入口210供应TMA。在步骤520中,通过第一入口210供应惰性气体。在步骤540中,在反应空间内产生等离子体。在步骤550中,通过第一入口210供应惰性气体。由于在等离子体关闭之后由等离子体产生的化学活性物质迅速消失,因此步骤550的持续时间可以非常短,或甚至可以省略。
在另一个实施方案中,ALD方法可以从未吸附的反应物开始。在某些实施方案中,其它反应物可用于形成膜。例如,在将反应物X供应到反应空间之前,可用初始的表面处理剂例如水或其它形成羟基的试剂处理衬底表面。在每个循环中,也可以使用还原性物质从吸附的物质清除配体,这有助于使得该过程自限制。此外,有助于膜的其它反应物可以用于每个循环或每几个循环中。
为了进行上述处理,ALD反应器200优选包括控制系统。该控制系统控制反应物和惰性气体的供应,以提供期望的交替和/或顺序的反应物脉冲。控制系统可以包含处理器、存储器和配置用于进行所述处理的软件程序。其也可以包含工业中公知的其它构件。或者,通用计算机可用于控制系统。控制系统根据存储在存储器内的程序自动打开或关闭反应物和惰性气体管线中的阀。
图7示出ALD反应器600的另一个实施方案。在图7中,类似的附图标记表示与图2所示的那些相似的构件。省略对相似构件的说明。在举例说明的实施方案中,优选下气体流动控制板242由绝缘(例如陶瓷)材料形成,而上气体流动控制板240和反应器盖201由金属或金属合金形成。上气体流动控制板240和反应器盖201优选接地。
反应器600还包括一个也接地的保护接地板606。保护接地板606用于防止寄生等离子体(parasitic plasma),否则,当反应器600用于PEALD时,其易于出现在入口210和212以及排出口220附近。
保护接地板606的第一部分606a位于入口侧的下气体流动控制板242的槽的底面上。保护接地板606的第二部分606b位于排出侧的上和下气体流动控制板240和242之间。保护接地极板606优选由金属(例如铜、铝、镍、钛、不锈钢)或金属合金形成。保护接地板606可以是能够以叠层或其它方式组装到气体流动控制板240和242上的板的形式。在某些实施方案中,可以形成保护接地膜来代替保护接地板606。接地膜可通过将金属材料涂布到下气体流动控制板242的上表面上形成。在上气体流动控制板240由金属形成并且接地的实施方案中,保护接地极板606可以通过只接触上气体流动控制板240来接地。因此,在排出侧不需要使保护接地极板或膜接地的其它电连接。
图8示出了ALD反应器700的另一个实施方案。在图8中,类似的附图标记表示与图2所示那些标记相似的构件。省略对类似构件的说明。
反应器700还包括清洗气通道707,其配置用于将清洗惰性气体供应到反应空间251的下游外缘251b。清洗气直接引入到下游外缘251b,而不经过衬底250。清洗气稀释了从反应空间251流出的过量反应物和任何反应副产物。清洗气抑制反应物和副产物相互反应或在排出口220处或在其附近冷凝,从而降低不期望的沉积或杂质的形成。
反应器700也可以包括电接地的保护接地板或膜650。保护接地板650用于防止寄生等离子体,否则,当反应器700用于PEALD时,其易于出现在入口210和212以及排出口220附近。
保护接地板650的第一部分650a位于入口侧的下气体流动控制板242的槽的底表面上。保护接地板650的第二部分650b位于出口侧的清洗气通道707的底表面上,所述清洗气通道707为下气体流动控制板242上表面的一部分。保护接地板650的结构和材料与图7中保护接地板606的那些相似,因此省略进一步的细节。
在上述实施方案中,只有两种反应物用于ALD方法,并且给出了与TMA脉冲分开的O2等离子体脉冲的实例。但是,在某些实施方案中,三种或更多的反应物可用于ALD方法。这三种或更多的反应物可以顺序和循环地供应到反应空间中,并利用上述阀和板在时间和空间上隔开。优选地,在通过一个入口提供任何给定反应物脉冲期间,通过所有其它入口提供清洗气体。优选地,在反应物脉冲期间,清洗所有入口。在一个或多个反应物脉冲期间,可任选使用等离子体。在一个实施方案中,可以同时供应一些反应物,这取决于根据真实分离的ALD反应的各种变化的配方。此外,本领域技术人员将会理解,上述实施方案的反应器可适用于各种其它类型的气相沉积过程。
尽管以上详细说明了各种优选实施方案和最佳模式,但是本领域的技术人员将易于理解,示例性实施方案的许多修改是可能的,但是本质上没有背离本发明的新颖性教导和优点。
工业应用
本发明提供了适用于ALD的反应器,其允许一种反应物快速切换到另一种反应物,同时形成高质量薄膜。

Claims (10)

1.一种将反应物沉积到反应空间内的衬底上的方法,所述反应空间包含上游外缘和下游外缘,所述方法包含多个原子层沉积循环,每个循环包括:
将第一反应物供应到所述反应空间,其中所述第一反应物的供应依次包括:使第一反应物在第一垂直水平向所述反应空间的所述上游外缘水平地向外流动,同时加宽所述第一反应物的第一流径,并且使所述第一反应物垂直地流动到所述上游外缘并流入所述反应空间;
使所述第一反应物与所述衬底的表面反应;
从所述反应空间除去过量的第一反应物;
将第二反应物供应到所述反应空间,其中第二反应物的供应依次包括:使第二反应物在第二垂直水平向所述反应空间的所述上游外缘水平地流动,同时加宽所述第二反应物的第二流径,并且使所述第二反应物从所述第二垂直水平垂直地流到所述上游外缘并流入所述反应空间;
使所述第二反应物与所述衬底的表面反应;和
从所述反应空间除去过量的第二反应物。
2.权利要求1的方法,其中供应所述第一反应物到所述反应空间还包括供应惰性气体到所述第二流径。
3.权利要求1的方法,其中供应所述第二反应物到所述反应空间还包括供应惰性气体到所述第一流径。
4.权利要求1的方法,还包括依次重复所述循环至少5次。
5.权利要求1的方法,还包括在至少一个循环中供应、反应和除去第三反应物。
6.权利要求1的方法,其中所述反应物的反应包括在所述反应空间中产生等离子体。
7.权利要求1的方法,其中所述反应空间低于所述第一和第二垂直水平。
8.权利要求1的方法,其中除去过量的第一反应物包括:
使惰性气体流入所述第一和第二流径两者;
使所述过量的第一反应物从所述反应空间的下游外缘垂直地流出所述反应空间;
使所述过量的第一反应物水平地流动,同时使所述过量反应物的第三流径变窄;和
从所述第三流径排出所述过量的第一反应物。
9.权利要求1的方法,其中除去所述过量的第一反应物依次包括:
使惰性气体流入所述第一和第二流径两者;
使所述过量的第一反应物从所述反应空间的下游外缘垂直地流出所述反应空间;
使所述过量的第一反应物水平地流动,同时使所述过量反应物的第三流径变窄;和
从所述第三流径排出所述过量的第一反应物。
10.权利要求9的方法,其中除去所述过量的第二反应物依次包括:
使所述过量的第二反应物从所述反应空间的所述下游外缘垂直地流出所述反应空间;
使所述过量的第二反应物沿变窄的第三流径水平地流动;和
从所述第三流径排出所述过量的第一反应物。
CN2009102041541A 2005-05-09 2006-05-04 反应物沉积方法 Active CN101696494B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2005-0038606 2005-05-09
KR20050038606 2005-05-09

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2006800157196A Division CN101171365B (zh) 2005-05-09 2006-05-04 多入口原子层沉积反应器

Publications (2)

Publication Number Publication Date
CN101696494A true CN101696494A (zh) 2010-04-21
CN101696494B CN101696494B (zh) 2011-11-16

Family

ID=37392957

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009102041541A Active CN101696494B (zh) 2005-05-09 2006-05-04 反应物沉积方法
CN2006800157196A Active CN101171365B (zh) 2005-05-09 2006-05-04 多入口原子层沉积反应器

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2006800157196A Active CN101171365B (zh) 2005-05-09 2006-05-04 多入口原子层沉积反应器

Country Status (6)

Country Link
US (1) US20060249077A1 (zh)
JP (1) JP2008540840A (zh)
KR (1) KR101272321B1 (zh)
CN (2) CN101696494B (zh)
TW (1) TW200710266A (zh)
WO (1) WO2006121264A1 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1866465A2 (en) 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US7537804B2 (en) * 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7976898B2 (en) * 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US8859042B2 (en) * 2008-05-30 2014-10-14 Alta Devices, Inc. Methods for heating with lamps
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US20100209082A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Heating lamp system
US8852696B2 (en) * 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
US8602707B2 (en) * 2008-05-30 2013-12-10 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
TW201030178A (en) * 2008-10-10 2010-08-16 Alta Devices Inc Concentric showerhead for vapor deposition
JP2012521094A (ja) 2009-03-16 2012-09-10 アルタ デバイセズ,インコーポレイテッド ウエハキャリアトラック
KR101536257B1 (ko) * 2009-07-22 2015-07-13 한국에이에스엠지니텍 주식회사 수평 흐름 증착 장치 및 이를 이용한 증착 방법
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
JP5369304B2 (ja) * 2010-09-30 2013-12-18 ソイテック 原子層堆積によって半導体材料を形成するためのシステム及び方法
KR20120035559A (ko) * 2010-10-06 2012-04-16 주식회사 유진테크 반원 형상의 안테나를 구비하는 기판 처리 장치
KR101165326B1 (ko) * 2010-10-06 2012-07-18 주식회사 유진테크 대칭형 유입구 및 유출구를 통해 반응가스를 공급하는 기판 처리 장치
CN103635605B (zh) * 2011-04-07 2017-03-08 皮考逊公司 具有等离子体源的原子层沉积
KR101685629B1 (ko) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 수평 흐름 원자층 증착 장치
KR101288130B1 (ko) * 2011-07-13 2013-07-19 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
KR101288129B1 (ko) * 2011-07-13 2013-07-19 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
US9062375B2 (en) 2011-08-17 2015-06-23 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition apparatus and atomic layer deposition method using the same
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
JP6334880B2 (ja) * 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
KR102267923B1 (ko) 2014-08-26 2021-06-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10932323B2 (en) 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
KR101777689B1 (ko) * 2016-09-21 2017-09-12 에이피시스템 주식회사 복합막 증착장치 및 증착방법
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10590535B2 (en) * 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN110809818B (zh) * 2017-08-30 2023-07-11 株式会社国际电气 保护板、衬底处理装置及半导体器件的制造方法
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
FI129501B (en) * 2019-04-25 2022-03-31 Beneq Oy Gas distribution unit in connection with the ALD reactor
US20220235465A1 (en) * 2019-06-06 2022-07-28 Picosun Oy Substrate processing methods and apparatus
CN112095088B (zh) * 2020-07-29 2023-05-16 苏州迈正科技有限公司 一种快速切换镀膜工艺气体的方法及设备
RU204415U1 (ru) * 2020-12-17 2021-05-24 Дмитрий Сергеевич Кузьмичев Устройство для атомно-слоевого осаждения
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3696779A (en) * 1969-12-29 1972-10-10 Kokusai Electric Co Ltd Vapor growth device
US4421786A (en) * 1981-01-23 1983-12-20 Western Electric Co. Chemical vapor deposition reactor for silicon epitaxial processes
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
DE69732722T2 (de) * 1996-03-22 2006-02-02 Taiyo Nippon Sanso Corporation CVD Verfahren
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100624030B1 (ko) * 1999-06-19 2006-09-19 에이에스엠지니텍코리아 주식회사 화학 증착 반응기 및 이를 이용한 박막 형성 방법
EP1125321B1 (en) * 1999-06-19 2007-08-15 ASM Genitech Korea Ltd. Chemical deposition reactor and method of forming a thin film using the same
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
TW496907B (en) * 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
CN1292431C (zh) * 2001-07-02 2006-12-27 皇家菲利浦电子有限公司 获得校正信号的波形均衡器和信息再现装置
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
WO2003033762A1 (en) * 2001-10-15 2003-04-24 Micron Technology, Inc. Atomic layer deposition apparatus and process
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR20040007963A (ko) * 2002-07-15 2004-01-28 삼성전자주식회사 단원자층 증착 반응장치
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus

Also Published As

Publication number Publication date
CN101171365B (zh) 2010-05-19
JP2008540840A (ja) 2008-11-20
KR20080005970A (ko) 2008-01-15
CN101696494B (zh) 2011-11-16
US20060249077A1 (en) 2006-11-09
WO2006121264A1 (en) 2006-11-16
KR101272321B1 (ko) 2013-06-07
TW200710266A (en) 2007-03-16
CN101171365A (zh) 2008-04-30

Similar Documents

Publication Publication Date Title
CN101696494B (zh) 反应物沉积方法
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
US7138336B2 (en) Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US7754013B2 (en) Apparatus and method for atomic layer deposition on substrates
TWI398547B (zh) 基於蒸氣之組合式處理
EP1125321B1 (en) Chemical deposition reactor and method of forming a thin film using the same
US8092598B2 (en) Apparatus and method for thin film deposition
TWI726043B (zh) 用於產生派形加工的電漿源組件及處理腔室
KR102197576B1 (ko) 재순환을 이용하는 공간적인 원자 층 증착을 위한 장치 및 사용 방법들
US20070215036A1 (en) Method and apparatus of time and space co-divided atomic layer deposition
KR20130067600A (ko) 다이렉트 플라즈마 형성 원자층 증착장치
US20080096369A1 (en) Apparatus and method for high-throughput chemical vapor deposition
US20030190804A1 (en) Simultaneous cyclical deposition in different processing regions
KR101160788B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
CN103493179A (zh) 热线式原子层沉积设备及其使用方法
KR20150032656A (ko) 급속 열 처리를 이용한 원자 층 증착
WO2020028062A1 (en) Methods and apparatus for ald processes
US9879342B2 (en) Lateral flow atomic layer deposition apparatus and atomic layer deposition method using the same
KR20150091849A (ko) 다수 챔버 적층 구조 원자층 증착장치
KR101309846B1 (ko) 원자층 증착 장치
KR101111754B1 (ko) 배치타입 원자층 증착장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP03 Change of name, title or address
CP03 Change of name, title or address

Address after: Gyeonggi Do, South Korea

Patentee after: ASM Korea Corporation

Address before: South Korea field wide area

Patentee before: ASM Genitech Korea Ltd.