CN101490810B - 光刻胶剥除室和在基片上蚀刻光刻胶的方法 - Google Patents

光刻胶剥除室和在基片上蚀刻光刻胶的方法 Download PDF

Info

Publication number
CN101490810B
CN101490810B CN2007800262727A CN200780026272A CN101490810B CN 101490810 B CN101490810 B CN 101490810B CN 2007800262727 A CN2007800262727 A CN 2007800262727A CN 200780026272 A CN200780026272 A CN 200780026272A CN 101490810 B CN101490810 B CN 101490810B
Authority
CN
China
Prior art keywords
substrate
plasma
effective coverage
photoresist
coil
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800262727A
Other languages
English (en)
Other versions
CN101490810A (zh
Inventor
罗伯特·P·切比
雅罗斯瓦夫·W·维尼凯克
艾伦·J·米勒
格拉迪斯·S·洛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101490810A publication Critical patent/CN101490810A/zh
Application granted granted Critical
Publication of CN101490810B publication Critical patent/CN101490810B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Abstract

一种保护基片上有效区域的方法包括将基片设置在等离子反应器的电感耦合等离子处理室中,提供工艺气体至该等离子处理室,由该工艺气体生成等离子,利用该等离子处理该基片,从而通过以下方式保护该有效区域:将该基片的等离子电势保持在5至15伏特;和/或通过使用不含硅烷的工艺气体钝化该有效区域,该气体包括至少一种可在该基片的有效区域上形成保护层的添加剂,其中该保护层包括至少一种来自该添加剂的且已经存在于该有效区域中的元素。

Description

光刻胶剥除室和在基片上蚀刻光刻胶的方法
背景技术
等离子处理设备用于包括等离子蚀刻、物理气相沉积、化学气相沉积、离子注入和抗蚀剂去除工艺。
在等离子处理操作中使用光刻胶材料以图案化材料。商业上的光刻胶是聚合材料和其他有机和无极材料的混合物。光刻胶施加在基片上,以及使射线通过图案化掩模以将图案传递到抗蚀剂层中。光刻胶分为正光刻胶和负光刻胶两大类,其分别产生正的和负的图像。在显影之后,在光刻胶上存在图案。这个图案化的光刻胶可用来通过蚀刻,以及将材料沉积在基片上或将材料注入基片中而在基片上形成特征。共同转让的美国专利No.5,968,374,6,362,110和6,692,649公开了等离子光刻胶剥除技术,特此通过引用结合其公开内容。
发明内容
一种保护基片上有效区域的方法包括将基片设置在等离子反应器的电感耦合等离子处理室中,该等离子反应器包括覆盖该基片的电介质窗以及至少一个线圈,该线圈穿过该电介质窗将RF能量电感耦合进该电介质窗和该基片之间的空间内,该基片包括有效区域,提供工艺气体至该等离子处理室,通过施加功率至该线圈而由该工艺气体生成等离子,利用该等离子处理该基片,从而通过以下方式保护该有效区域:(a)将该基片的等离子电势保持在5至15伏特和/或(b)通过使用不合硅烷的工艺气体钝化该有效区域,该气体包括至少一种可在该基片的有效区域上形成保护层的添加剂,其中该保护层包括至少一种来自该添加剂的且已经存在与该有效区域中的元素,以及在第二步骤中剥除该有机光刻胶。
附图说明
图1A说明去除在半导体晶片的有效区域(AA)上离子注入的光刻胶的工艺,以及图1B说明电感耦合等离子室,其用于执行图1A所说明的光刻胶剥除工艺。
图2是离子穿透进入硅氧化物(SiOx)和硅(Si)的图形模拟。
图3是材料损失与底部电极上的直流(DC)偏置电压的函数的图形表示,该底部电极用来支撑半导体晶片。
图4是材料损失与室压力的函数的图形表示。
图5是硅损失与用于四个工艺气体化学制剂的TCP功率的函数的图形表示。
图6是光刻胶剥除速率与用于四个工艺气体化学制剂的TCP功率的函数的图形表示。
图7是用于具有0到100sccmXe和350sccmO2的五个气体化学制剂硅损失的图形表示。
图8是用于四个化学制剂的硅损失的图形表示,其中并列的柱分别表示6
Figure G2007800262727D00021
和12
Figure G2007800262727D00022
天然氧化物。
图9是由使用30sccmCHF3和350sccmO2的工艺气体持续100秒然后用去离子水(DI)冲洗所产生的不希望的剩余物的扫描电子显微镜照片(SEM)。
图10是由使用30sccmCF4和350sccmO2的工艺气体持续100秒然后用去离子水(DI)冲洗所产生的不希望的剩余物的扫描电子显微镜照片(SEM)。
图11是由使用30sccmCF4和350sccmO2的工艺气体持续10秒,然后使用10sccmCH3F和350sccmO2的工艺气体持续100秒,然后由去离子水(DI)冲洗所产生的不希望的剩余物的扫描电子显微镜照片(SEM)。
图12是由使用10sccmSiF4和350sccmO2的工艺气体持续2秒,然后使用10sccmCH3F和350sccmO2的工艺气体持续90秒,然后由去离子水(DI)冲洗所产生的扫描电子显微镜照片(SEM)。
图13A和B示出还没有处理的100
Figure G2007800262727D00031
氧化物晶片的SIM和SEM结果。
图13C和D示出在室压90mTorr、1.2kWTCP功率、底部电极0电压偏置、350sccmO2和10sccmCHF3持续60秒条件下处理的100氧化物晶片的SIM和SEM结果。
图14说明使用脉冲等离子对硅损失的影响。
图15还说明SiF4流率对硅损失和氧化物厚度的影响,图16说明两个SiF4流率对室压的影响以及图17说明线圈和晶片之间的间隙对于硅损失的影响。
具体实施方式
在使用离子注入、收缩器件几何图形的集成电路(IC)制造过程中,增加的离子注入能量和剂量,以及新材料使得越来越难以制造没有剩余物的器件。从蚀刻和灰化工艺留下的剩余物会产生不希望的电气效应和腐蚀,这降低了产品成品率。见E.Pavel的“Combining Microwave Downstream and RF Plasma Technology forEtch and Clean Applications,”196th Electrochemical Society会议,(1999年10月)。
在等离子处理技术中(如等离子蚀刻和反应性离子蚀刻(RIE)),以及在离子注入中,将光刻胶施加在基片上以保护该基片上所选取的区域免于暴露于离子和自由基。已经构想出用于这种抗蚀剂应用的有机聚合物成分。
在基片由蚀刻、离子注入等处理后,从下层基片去除或“剥除”光刻胶。希望该光刻胶剥除工艺使该基片表面尽可能干净,希望没有任何剩余聚合物膜或抗蚀剂材料。可使用湿法或干法剥除技术来去除光刻胶。湿法剥除技术使用含有有机溶剂或酸的溶液。干法剥除(或“灰化”)技术使用氧等离子用于光刻胶去除。
离子注入制造技术用以利用杂质掺杂基片的区域以改变该基片的电气属性。离子注入可作为掺杂原子的源,或者用来在基片中引入不同成分的区域。在离子注入过程中,以足够高的电压加速离子以穿透该基片表面到达希望的深度。增加加速电压会增加杂质浓度峰值的深度。
在基片上不需要注入的区域利用光刻胶保护。然而,在注入过程中会改变该光刻胶,并且使得其在注入后比一般(未注入的)光刻胶更难以去除。特别地,注入的离子损伤该光刻胶区域,由此打破靠近表面的C-H键并且形成碳-碳单键和双键。所产生的交联的、注入的光刻胶的牢固、富碳或“碳化”层(或“皮肤”或“硬皮”)密封不同的下面的大块光刻胶。富碳层的厚度是注入物质、电压、剂量和电流的函数。富碳层通常具有大约200Δ到大约2000Δ的厚度。见A.Kirkpatrick等人的“Eliminating heavily implanted resistin sub-0.25-Φm devices”MICRO,71(7月/8月,1998)。按照E.Pavel,随着注入剂量和能量增加,注入的光刻胶会变得越来越难以去除。
除了离子注入技术之外,在等离子处理技术中富碳层还会形成在有机光刻胶中,其中还发生光刻胶的离子轰击。
氧等离子灰化技术可去除该富碳层,但是只能以大约500Δ/min或更低的低速率进行。这些技术的蚀刻机使氧基团与光刻胶中的碳化氢反应从而产生H2O和CO2
已经确定RF偏置可施加于该基片以增加交联层的去除速率。所施加的RF偏置向该富碳层提供能量,该能量打破碳单键并由此增强与氧基团的反应。
然而,也已经确定向基片施加RF偏置以增强光刻胶去除同样会产生不希望的影响。图1A示意性地描述从离子注入基片10去除有机光刻胶的过程。该基片10包括硅11,其被离子注入以形成有效区域(active area)11a和薄的覆盖的无机层12(例如,含硅层,如SiOx)。该无机层12可以是通过CVD或离子浸没、热生长形成的硅氧化物层,或者可以是天然氧化物,它通常具有小于或等于20Δ的厚度或20至40
Figure G2007800262727D00051
的厚度。施加在该无机层12上的光刻胶16包括大块光刻胶18和由该离子注入工艺形成的覆盖的富碳层20。由光刻胶16在基片10上形成的这些特征(触电、过孔、沟槽等)通常宽度为大约0.25Φm或更少。在RF偏置系统中,高能O2 +离子会导致无机层12的飞溅。该无机层12的飞溅是不希望的,因为对于通常的工艺规范,无机材料(例如氧化物)在富碳层20和该大块光刻胶18的去除过程中最大的损失量是小于大约2Δ。该富碳层20通常可具有大约200至大约2000Δ的厚度,以及该大块光刻胶18可具有大约几千埃的厚度。另外,飞溅的无机材料会重新沉积在该基片和该光刻胶上,导致清洁后的基片上存在有机和无极剩余物。
在处理过程中(如光刻胶去除)向该基片施加偏置电压的另一不希望的影响是等离子中的氧离子可能具有足够的能量穿透该薄的无机层并且氧化该有效区域内下层的硅。该有效区域包括硅、砷化镓等半导体基片上注入的硅、张紧的硅(strained silicon)和/或栅极氧化物。
根据上述发现,已经确定当处理基片时基片表面的等离子电势保持在大约5到15伏特和/或使用包含至少一种添加剂以在有效区域上形成保护层的不含硅烷的工艺气体而钝化有效区域,然后可选地进行硬皮和光刻胶去除时,会获得不希望的硅损失和/或硅氧化物层的生长。在第一步骤中,希望保护该有效区域避免由于氧离子冲击而导致的硅损失和/或最小化由于SiOxFyC2的聚集导致的栅极氧化物的生长,其中x可以是0到2,y可以是0到2和z可以是0到1。因此,在第一步骤,通过在有效区域形成保护层而使硅损失最小化和/或通过各种不同的室设计和/或工艺修改可将基片(晶片)的等离子电势降低到期望值(例如,低于20伏特,优选地在5到15伏特)。例如,覆盖该电介质窗的一个或多个电感线圈的最外缘可以与围绕该基片支撑件的室壁向内隔开(例如,在该线圈的外匝和室的内壁之间提供至少5cm的间隔),该电介质窗可具有增加的厚度(例如,提供至少4cm厚的窗),该基片和该线圈之间的距离可以逐渐增加(例如,降低该基片支撑件和/或在电介质窗和该室顶部之间增加垫片以移动该线圈进一步远离该基片)从而该电感耦合等离子室可以不使用法拉第屏蔽(Faraday Shield)而运行。另一种降低等离子电势的方式是通过:在第一步骤中脉冲施加RF功率到该电感线圈,增加该基片的接地路径的阻抗和/或在工艺气体中包含高原子序数中性气体物质(例如,Ne,Kr,Xe)。可通过包含在该有效区域之上形成保护层的添加剂而实现钝化,其中该保护层包括至少一种存在于该有效区域中的元素(例如,Si,Ge,As,Sb,In,P或B通过添加不含硅烷气体如SiF4,GeF4,GeH4,AsH3,PH3,B2,H6)。如果需要,可以在第一步骤中添加如N2O,NH3,CF4,CO等其他气体。
在步骤2中,其间剥除该硬皮和/或大块光刻胶,该工艺气体可包括各种不同的组份,包括含氧气体、一种或多种碳化氢、氟碳化合物和氟代烃气体,以及在第一步骤中使用的添加剂。该无机材料可以是,例如,含硅材料(例如,Si,SiOx[例如SiO2],SixNy[例如,Si3N4],SixOyN2,高k金属氧化物栅极(例如,HfSixOy)等)。该光刻胶可以存在于包括例如硅、SiO2、Si3N4等的多种半导体基片材料(如晶片)上。
优选地通过施加射频(RF)至该等离子处理室外面的一个或多个电感线圈而由该工艺气体生成该等离子。该基片优选地是设置在该等离子生成区域附近的晶片。在优选实施例中,该线圈是平面线圈以及该晶片的暴露表面面向并且平行于该线圈的平面。
该等离子反应器优选地是电感耦合等离子反应器,更优选地是可从本申请的受让人Lam Research Corporation得到的高密度TCPTM反应器。从基片(如300mm和200mm基片)去除光刻胶的方法的实施例可以在电感耦合等离子反应器中执行,如图1B示出的反应器100。该反应器100包括内部102,通过连接到出口104的真空泵保持所需的真空压力。可通过从气源106提供气体至围绕电介质窗110的下面延伸的集气室108而将工艺气体提供到喷头装置。通过从RF源112施加RF能量至外部RF天线114(如设置在该反应器100顶部、该电介质窗110外面的具有一匝或多匝的平面螺旋线圈)可在该内部102内生成高密度等离子。
基片116(如半导体晶片)支撑在反应器100的内部102的基片支撑件118上。该基片支撑件118可包括夹紧装置,如静电卡盘120,以及该基片116可由介电聚焦环122围绕。该卡盘120可包括RF偏置电极,其用于在该基片116等离子处理期间向该基片施加RF偏置。由气源106施加的工艺气体可流过该电介质窗110和下面的气体分配板124之间的通道,并且通过该板124中的气体出口进入该内部102。或者,该气体可由延伸穿过该窗的一个或多个气体喷射器提供。例如,见共同转让的美国专利No.6,230,651。该反应器还可包括从该板124延伸的衬垫126。
可用来生成等离子的示范性等离子反应器是2300TCPTM反应器,可从Lam Research Corporation得到。用于等离子反应器的通常的运行条件如下:施加在上电极(线圈)的感应功率从大约400到大约10,000瓦特,反应室压力从大约10到大约500mTorr,以及在钝化和光刻胶去除步骤期间总的工艺气体流率从大约200到大约600sccm。
在钝化和光刻胶去除步骤期间,基片支撑件(例如,ESC)上的基片最好保持在足够低的温度以防止富碳层破裂。例如,当该光刻胶成分中的溶剂受热蒸发时,富碳层破裂,产生可能沉积在该基片上的微粒。为了避免该富碳层的这种破裂,该基片支撑件优选地保持在小于大约150℃的温度,以及更优选地从大约-20到大约75℃,以及在钝化和光刻胶去除过程中,室压力小于大约200mTorr。
在钝化和光刻胶去除步骤期间,优选地不向该带有底部电极的基片施加RF偏置,基片支撑在该底部电极上。而是,优选地增加该基片可见的接地阻抗路径以降低在该基片表面可见的等离子电势。或者,在该光刻胶去除步骤过程中可以施加RF偏置,其间该RF偏置可以加速该等离子中的离子并且向该基片增加能量,这增加该光刻胶的去除速率。施加在该基片的RF偏置优选地小于大约100伏特(相对于地),更优选地小于大约20伏特。
尽管该室可以设计为在该基片表面上提供降低的等离子电势,但是对等离子电势的调节可通过各种技术进行。例如,施加给线圈的功率和/或该室中的压力可以调节为实现所需的等离子电势。并且在该钝化和/或光刻胶去除步骤过程中,如Xe和Kr的气体可增加到该工艺气体以降低该等离子电势,以及如He的气体可增加到该工艺气体以增加该等离子电势。
该第二步骤可调整为去除全部光刻胶或该第二步骤可包括光刻胶剥除阶段之前的硬皮穿透阶段。如果需要,可通过使用终点检测技术,在蚀刻工艺期间检测该富碳层的完全去除,这可确定下层的大块光刻胶暴露的时间。例如,可通过光发射技术(例如,光发射技术可监测波长如大约520nm的来自的一氧化碳的放射线)来确定富碳层去除的终点。在富碳层去除过程中,由于低蚀刻率而产生低CO信号。一旦打开富碳层,所暴露的下面的大块光刻胶以比该富碳层更快的速率被蚀刻,随后,CO的浓度和相应的CO信号增加。
在该富碳层去除之后,可使用不同的光刻胶蚀刻工艺来去除下面的大块光刻胶。例如,可通过在比该富碳层蚀刻步骤中优选使用的温度更高的温度下氧气灰化来去除该大块光刻胶。例如,在该大块光刻胶蚀刻步骤中,该基片温度范围从大约150℃到大约300℃,优选地200到280℃。大块光刻胶去除期间,该室压力优选地大于大约500mTorr。氧气灰化还可实现高速去除该大块光刻胶。例如,O2/N2等离子可以大约4到大约6微米/分钟的速率去除该大块光刻胶。还可以使用可选的过灰化步骤。该光刻胶中的挥发性溶剂可随着该光刻胶灰化从该等离子处理室排出。
优选地在同一室中或者在不同的室中使用上游生成的等离子将大块光刻胶从该基片去除。然而,该大块光刻胶去除步骤可在用于该钝化和硬皮去除步骤的相同处理室中执行。或者,该大块光刻胶可在不同的处理室中去除。也就是,该基片在该钝化和硬皮去除步骤之后可从该处理室中移除,并且设置在不同的处理室中以蚀刻该大块光刻胶。使用不同的处理室可避免在该富碳层去除和灰化过程中分别改变气体化学制剂和/或该基片温度。
用于300mm晶片上的钝化和/或光刻胶去除的示范性工艺条件如下:室压力大约10-500mTorr,优选地50到90mTorr,施加在上电极(线圈)的功率大约400-10,000瓦特,优选地在该钝化步骤中脉冲时间平均功率是400到3500瓦特,,施加在偏置电极的功率为大约0-10瓦特,优选地在该钝化步骤期间为0瓦特,气体流率为含添加剂气体大约1到20sccm(例如,1到20sccmS1F4),含氧气体大约200到500sccm,氟碳化合物和/或氟代烃(例如,CF4)气体10到50sccm,惰性或高原子序数气体(例如,Ar,Ne,Kr,Xe)100到800sccm,以及基片支撑件温度低于75℃,优选地从-20℃到大约20℃。
如果在第二步骤中没有去除全部光刻胶,那么希望任何剩余物可溶于去离子水,由此使得对湿法剥除技术的需要最小。可以调节工艺参数(如这些工艺气体的流率和它们的压力)以实现该富碳层相对于该无机层的选择性蚀刻,以可使用相同或不同的工艺气体去除该大块光刻胶。
在没有在第二步骤期间去除全部光刻胶的情况中,在下游等离子剥除室中去除剩余的大块光刻胶的示范性工艺条件如下:室压力大约1000mTorr,施加在等离子源的功率大约2500瓦特,总的工艺气体流率大约4400sccm,以及基片温度大约220℃。
随着器件几何结构缩小到65nm以及更低,前端线(FEOL)处理中(如蚀刻和注入后剥除和清洁中)遇到关于材料损失的必然困难。基片的这些有效区域暴露于各种等级的注入,然后是剥除和剥除后清洁。从材料或掺杂物损失方面来说,有效区域上的干法或湿法影响是不利的。随着该有效区域受到反复的剥除和清洁或蚀刻工艺,会发生材料损失。随着材料损失增加,各种器件特性发生变化,包括驱动电流、泄漏、电阻率和短沟效应。随着器件几何结构降低超过65nm,器件对于材料损失的敏感度更进一步增加。为了最佳性能,活动区域特性是任何器件的精密工程部分,所以,由于FEOL处理(注入后剥除)导致的材料损失对于器件性能是不利的。
在FEOL注入后剥除处理中,所关心的是去除变硬的光刻胶(PR)层,然后是剩余的大块PR,而不会不利地影响(或去除)任何暴露的在下面的有效区域硅之上的电介质层,这个电介质层可包括或也可以不包括N或P残杂物和/或锗。除了严苛的材料损失目标,还需要该剥除工艺不会产生在注入后剥除湿法清洁中难以去除的剩余层。希望在干法剥除后的任何剩余可溶于水,以及或者是通过传统技术(如SPM和/或APM)容易去除的。
在干法剥除过程中,由于来自等离子的带电化学物质穿过薄电介质层进入有效区域并且与Si、Ge或掺杂物反应从而导致材料损失。这种反应的一个示例是由足够的能量驱动穿过薄电介质层的氧化基团与有效区域组份反应并且将其氧化。这种作用的结果导致有效区域Si或Ge损失。还原物质(即氢)对于有效区域也是有害的。干法剥除中有效区域损坏的影响在剥除后化学清洁过程中进一步发生。认为在干法剥除过程中来自该等离子的穿透离子足以破坏该有效区域表面、大块、晶格或周期性(periodicity),导致这个区域表面上变得更容易受到湿法化学制剂冲击,因此导致过多的材料损失。总之,如果离子能量的影响不能够适当地控制或减小,并不只是在干法剥除期间,还有随后的湿法清洁期间也会发生有效区域材料的损失。
在一个实施例中,在经过变化以降低等离子电势(或离子能量)的等离子反应器中执行注入后剥除。这种变化包括,但不限于:1)脉冲功率等离子发生器用作等离子源;2)使用大功率感应等离子源和/或高室压力;3)优化反应器几何结构以降低在晶片水平的离子能量;和/或4)使用到接地底部电极的高阻抗路径以支撑该基片。上面所述在有或者没有下面情况下都可以使用:5)工艺窗变化将目标定位较低的离子能量区域和/或6)在任何次序的用于硬皮或PR去除的步骤之前或期间在该有效区域之上形成保护膜。
硬皮和大块PR剥除工艺窗变化包括对有效区域完整性的破坏性非常小的剥除工艺的开发和表征,在该工艺中将离子能量大大降低至几个伏特。这些工艺变化包括以各种不同顺序使用用于硬皮和大块去除的更高的等离子功率(1200-10,000W)和更高的剥除压力范围(10-500mT)以获得所期望的结果。这些工艺变化可与工艺气体组份的使用结合以为该有效区域产生保护层,以便在硬皮和大块PR去除过程中大大地保护该有效区域。该保护层组份可包括Si,Ge,C,O,H,B,P,As,Sb,F,N及其化合物。用来形成这个保护层的工艺气体组份优选地产生保护该有效区域最有效的结果。这些可以是非硅烷含硅气体,如SiF4,含锗气体,如GeF4和GeH4,含氮气体,如N2O和NH3,含碳气体,如CF4和CO,含硼气体,如B2H6,含磷气体,如PH3,含砷气体,如AsH3等,以限制或消除干法等离子蚀刻期间对有效区域的破坏。在不受到理论限制的情况下,相信该保护层以两种功能途径降低有效区域材料损失:一种是物理保护该有效区域,另一种是通过自身消耗或与该等离子反应。干法等离子剥除期间,该保护层物理保护免受带电物质影响的方面是为该有效区域提供对离子的屏蔽或缓冲。该保护层的自身消耗或反应特性包括提供类似该有效区域组分的组分,从而任何等离子-晶片反应(尤其包括如氧化或还原的化学反应)将发生在该保护层,而不是该有效区域。所以这个化学方式的保护层而不是该有效区域现在将耐受由于晶片-等离子相互反应导致的任何化学破坏。
如上面所解释的,有效区域之上“设计的”保护层提供两种功能:化学保护,其中该保护层与等离子反应防止有效区域的破坏,以及物理保护,其中该保护层作为防护穿透离子的屏障。该保护层可在具有大大降低的离子能量的工艺和设备条件下形成,但是并不必须限于这些条件。为了说明保护层的示例,考虑p型有效区域(即注入B或BF2);在该有效区域中,为了提高器件性能,Si利用Ge张紧,因此具有SiGeB掺杂有效区域。打算利用SiF4+GeF4+B2H6(除了O2或N2O&CxHyFz之外)形成薄保护层以物理防护该有效区域,并且防止来自该有效区域的Si、Ge或B的任何化学损失。
如下面解释的,有效区域消耗的降低可通过使用较高等离子功率和较高压力来实现,有效区域Si消耗的降低可通过在硬皮去除之前使用形成保护层的工艺气体组份,以及可使用惰性载体气体以降低离子能量。
参照图2和3,有效区域材料损失与离子穿透进入该基片有关系。更具体地,图2示出对于在FEOL等离子处理中代表性的各种不同离子能量,离子穿透进入SiO2和Si的结果,作为由穿透离子导致该有效区域破坏的证明。图3示出DC偏置与材料损失的关系。随着离子能量增加,材料损失增加,这是由于干法处理单独导致,或者是后面跟着的湿法清洁导致,这是因为湿法清洁化学制剂增强的与破坏的有效区域的反应性。即使在零偏置,仍有显著的材料损失。在这种情况下,该损失的大部分是由于该等离子电势引起的离子能量导致的。可通过降低等离子电势来降低离子能量。
参照图4和5,讨论了室压力和TCP功率的影响。在TCP反应器中,压力增加可用来降低等离子电势以及离子的碰撞减速,因此降低离子能量。图4示出材料损失与压力的函数。另外,氟碳化合物和/或氟代烃添加到该工艺气体可提供对基片的保护。优选地,一种或多种氟碳化合物或氟代烃被添加到到氧气(或其他氧化剂)中以获得对材料损失的显著影响。图5证明TCP功率对于多个工艺的硅损失的影响。使用不具有法拉第屏蔽的TCP反应器获得这些数据:添加CF4和CHF3与增加TCP结合导致硅损失降低。然而,纯O2工艺或添加CH3F在高TCP功率下不会导致硅损失降低。该增加的TCP功率导致增加的等离子电势以及CF4和CHF3添加剂在较高TCP功率下会分解更多而使得可聚合物质如CF和CF2数目增多。然而,CH3F不会分解形成保护聚合氟碳化合物并因此导致硅损失增加。CH3F不仅不会形成聚合氟碳化合物,而且在高TCP功率下CH3F形成过多的氟,由此推动硅的损失。在等离子中存在氟和氟碳化合物会导致由蚀刻产生的直接氧化物损失。然而,较高的TCP功率确实降低二氧化硅的蚀刻速率。对于O2+CH3F较低的氧化速率暗示添加到氧的其他氟碳化合物或氟代烃添加剂能够实现较低的SiO2蚀刻速率同时保持低硅损失。
参照图6,可以看出对于四种工艺化学制剂(O2+CF4,O2+CHF3,O2+CH3F,O2),光刻胶剥除速率随着TCP功率增加而增加。较高的TCP功率的好处是提供了较高的光刻胶剥除速率。这是有好处的,因为在较高的剥除速率,基片暴露于等离子的时间更短。更短的时间意味着更低的材料损失。
重惰性气体(如Kr或Xe)的添加可具有双重效应。首先,重惰性气体可降低等离子电势,因为它们的电离电势低于O2。因为需要较少的能量电离这些高原子序数气体,所以降低了电势。这“冷却”等离子电子,导致较低的等离子电势。第二,重惰性气体的存在可提供额外的对该硬皮的轰击能量,导致其更快速去除。这些重原子还可帮助去除剩余物。图7示出在没有法拉第屏蔽的TCP反应器中,向O2TCP等离子添加Xe对硅损失的影响。
图8将在较低等离子电势(较低离子能量-使用高TCP功率和高压力)的工艺制法与在该有效区域之上形成保护层结合。关于Si损失,这些结果是突出的。实质上,利用该有效区域之上大概12天然氧化物和使用SiF4的保护层,可有效地消除硅损失。
图9和10是差的剩余结果的说明,这其中可以看出硬皮没有去除干净。图11和12是早期形成的保护层和剩余物结果的示例。图11利用SEM示出保护层的证据以及保护层的SIMS组成。图13说明使用具有保护层的较低离子能量工艺窗的没有剩余物的结果。
图14示出,相比O2+CH3F,使用脉冲等离子与SiF4+Ar工艺气体对硅损失的影响。有效区域保护进行4秒,同时该TCP反应器运行在3或4.4kW脉冲TCP功率,0伏特偏置,20℃基片支撑件温度,5sccmSiF4+250sccmAr,90mTorr室压力,然后进行光刻胶剥除60秒,而在第二测试中该TCP反应器运行在3kW没有脉冲的TCP功率,0伏特偏置,20℃基片支撑件温度,10sccmCH3F+350sccmO2,90mTorr室压力。
SiF4流率对硅损失和氧化物厚度的影响在图15中示出。随着该SiF4流率从2增加到20sccm,氧化物厚度从大约40
Figure G2007800262727D00152
增加到大约120,其中硅损失从大约6
Figure G2007800262727D00154
降低到0
Figure G2007800262727D00155
。为了最小化氧化物厚度和硅损失的增加,优选是大约5到10sccm的SiF4流率。
两个SiF4流率下室压力的影响在图16中示出。随着压力从50mTorr增加到200mTorr,对于10sccmSiF4流率,该硅损失从0.5增加到大约2.5
Figure G2007800262727D00161
,以及对于5sccmSiF4流率,从1到大约2.5
Figure G2007800262727D00163
。为了最小化硅损失,在50到100mTorr的室压力下,SiF4流率优选是大约10sccm。
线圈与基片之间的间隔的影响在图17中示出。在去除光刻胶过程中,氧和氟穿透进入该有效区域通过在邻近该有效区域的硅区域中形成SiOF层而导致硅损失。结果,该6
Figure G2007800262727D00164
天然氧化物实际上变的更厚以及该有效区域中的硅由于转变为该SiOF层而损失。图17所示的结果示出,当线圈与水的间隔从18变为28cm时,可以大大改善硅损失。
已经确定不使用法拉第屏蔽可以使硅损失最小化。增加TCP功率会增加等离子密度,其导致较低的电子温度。较低的电子温度提供较低的晶片经受等离子电势并因此导致较低的离子能量。因此,降低等离子电势可提供较少的离子穿透进入该晶片的有效区域。法拉第屏蔽与电感耦合等离子室一起使用以从该感应线圈去除电容耦合。这种来自线圈的电容耦合会升高该相对等离子电势。然而,还可通过等离子几何结构的改变而最小化电容耦合。这种改变包括在该线圈下的电介质窗的厚度、该线圈与该室壁之间的间隔和该基片与该线圈之间的距离。已经确定通过调节室几何结构以降低等离子电势,不必包括法拉第屏蔽以从该感应线圈去除电感耦合。例如,该窗可以制造为至少4cm厚,该线圈的外缘可以与该室的内壁间隔至少5cm和/或该基片可以设置为距该线圈至少10cm,优选地至少20到35cm。
对于本领域技术人员来说,显然,可对前面根据其具体实施例进行的详细描述进行各种变化和改变以及采用等同方式,而不背离所附权利要求的范围。

Claims (20)

1.一种保护基片上有效区域的方法,包括:
将基片设置在等离子反应器的电感耦合等离子处理室中,该等离子反应器包括覆盖该基片的电介质窗以及至少一个线圈,该线圈穿过该电介质窗将RF能量电感耦合进该电介质窗和该基片之间的空间内,该基片包括有效区域;
提供工艺气体至该等离子处理室,
通过施加功率至该线圈而由该工艺气体生成等离子;
利用该等离子处理该基片,从而通过以下方式保护有效区域:(a)在处理过程中将该基片上的等离子电势保持在5至15伏特和/或(b)通过使用不含硅烷的工艺气体钝化该有效区域,该气体包括至少一种可在该基片的有效区域上形成保护层的添加剂,其中该保护层包括至少一种来自该添加剂的且已经存在于该有效区域中的元素。
2.根据权利要求1所述的方法,其中该添加剂元素包括Si,Ge,As,Sb,In,P和/或B及其化合物,该化合物包括BF2
3.根据权利要求1所述的方法,其中该钝化是在脉冲化对该线圈功率供应的同时进行的,即通电2至20μsec以及断电30至100μsec。
4.根据权利要求1所述的方法,其中该钝化是在施加400至10,000瓦特功率至该线圈的同时进行的。
5.根据权利要求1所述的方法,其中该钝化是在未向该基片施加RF偏置的情况下进行的。
6.根据权利要求1所述的方法,其中该方法是在等离子处理室保持在10至500mTorr压力下执行的。
7.根据权利要求1所述的方法,其中在钝化过程中的工艺气体包括SiF4、O2、Ar、Ne、Kr、Xe、CxFy和CxFyHz中的至少一个,其中在所述CxFy中x≥1和y≥2,在所述CxFyHz中x≥1,y≥2和z≥1。
8.根据权利要求1所述的方法,进一步包括从该基片剥除光刻胶。
9.根据权利要求1所述的方法,其中所述线圈是平面线圈,并且在该处理过程中基片与线圈间隔10到30cm。
10.根据权利要求1所述的方法,其中在该处理过程中,该工艺气体包括总量100到800sccm的O2、Ar、Ne、Kr和Xe。
11.根据权利要求1所述的方法,其中该电介质窗至少4cm厚,该线圈具有与该室内壁向内间隔至少5cm的外缘,该基片与该线圈间隔至少10cm,以及该室不包括法拉第屏蔽。
12.根据权利要求1所述的方法,进一步包括从该基片去除离子注入的光刻胶。
13.根据权利要求1所述的方法,其中硅氧化物层覆盖该有效区域以及该添加剂的存在量足以钝化该硅氧化物层。
14.根据权利要求1所述的方法,其中通过钝化该有效区域持续至少10秒来执行该处理。
15.根据权利要求13所述的方法,其中该硅氧化物层是天然氧化物、热生长氧化物或通过CVD或离子浸入形成。
16.根据权利要求13所述的方法,其中该硅氧化物层厚度为20至40
Figure FSB00000162057000031
或小于或等于20
17.根据权利要求1所述的方法,进一步包括利用该室内的氧等离子剥除该基片上的光刻胶,其中在该有效区域中该基片包括硅,以及在剥除过程中,不超过2
Figure FSB00000162057000033
的硅被转换而损失。
18.根据权利要求1所述的方法,其中该基片支撑在温度在-20℃到75℃之间的基片支撑件上,以及该室内的压力在该处理过程中小于500mTorr。
19.根据权利要求1所述的方法,进一步包括,在该处理之后,利用去离子水或其他湿法清洁化学制剂清洁该基片。
20.根据权利要求1所述的方法,其中该等离子处理室不包括法拉第屏蔽。
CN2007800262727A 2006-05-10 2007-04-25 光刻胶剥除室和在基片上蚀刻光刻胶的方法 Active CN101490810B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/431,104 US7605063B2 (en) 2006-05-10 2006-05-10 Photoresist stripping chamber and methods of etching photoresist on substrates
US11/431,104 2006-05-10
PCT/US2007/010115 WO2007133413A2 (en) 2006-05-10 2007-04-25 Photoresist stripping chamber and methods of etching photoresist on substrates

Publications (2)

Publication Number Publication Date
CN101490810A CN101490810A (zh) 2009-07-22
CN101490810B true CN101490810B (zh) 2011-04-13

Family

ID=38685685

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800262727A Active CN101490810B (zh) 2006-05-10 2007-04-25 光刻胶剥除室和在基片上蚀刻光刻胶的方法

Country Status (5)

Country Link
US (1) US7605063B2 (zh)
KR (1) KR101342779B1 (zh)
CN (1) CN101490810B (zh)
TW (1) TWI423323B (zh)
WO (1) WO2007133413A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023192402A1 (en) * 2022-03-31 2023-10-05 Lam Research Corporation Radiative heat windows and wafer support pads in vapor etch reactors

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US8043434B2 (en) 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US10714436B2 (en) 2012-12-12 2020-07-14 Lam Research Corporation Systems and methods for achieving uniformity across a redistribution layer
CN103058127B (zh) * 2012-12-14 2017-02-08 上海集成电路研发中心有限公司 一种微型条带的表面处理方法
US8871108B2 (en) * 2013-01-22 2014-10-28 Tel Fsi, Inc. Process for removing carbon material from substrates
CN104759753B (zh) * 2015-03-30 2016-08-31 江苏大学 多系统自动化协调工作提高激光诱导空化强化的方法
CN108701586B (zh) 2016-02-26 2022-09-30 玛特森技术公司 经注入的光致抗蚀剂的剥离处理方法
US10593518B1 (en) * 2019-02-08 2020-03-17 Applied Materials, Inc. Methods and apparatus for etching semiconductor structures
WO2021102661A1 (zh) * 2019-11-26 2021-06-03 重庆康佳光电技术研究院有限公司 一种光阻剥离液的隔离结构、tft阵列及其制备方法
US11373877B2 (en) 2020-04-13 2022-06-28 Applied Materials, Inc. Methods and apparatus for in-situ protection liners for high aspect ratio reactive ion etching
US20230402286A1 (en) * 2022-06-10 2023-12-14 Applied Materials, Inc. Method and apparatus for etching a semiconductor substrate in a plasma etch chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
CN1432190A (zh) * 2000-03-30 2003-07-23 兰姆研究有限公司 一种在使用下游等离子体的绝缘蚀刻器中的改进的抗蚀剂剥离

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4735762A (en) 1983-09-29 1988-04-05 The United States Of America As Represented By The United States Department Of Energy Laser or charged-particle-beam fusion reactor with direct electric generation by magnetic flux compression
US5911882A (en) 1988-05-10 1999-06-15 University Of Washington Removing contaminants from water using iron oxide coated mineral having olivine structure
US5369072A (en) 1988-05-10 1994-11-29 University Of Washington Granular media for removing contaminants from water and methods for making the same
US5057184A (en) 1990-04-06 1991-10-15 International Business Machines Corporation Laser etching of materials in liquids
US5145764A (en) 1990-04-10 1992-09-08 E. I. Du Pont De Nemours And Company Positive working resist compositions process of exposing, stripping developing
JP3038950B2 (ja) 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
JP3252518B2 (ja) 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
DE69612656T2 (de) 1995-01-20 2001-10-18 Clariant Finance Bvi Ltd Verfahren zur entwicklung eines positiv arbeitenden fotoresists und entwicklungszusammensetzungen dafür
DE19544902A1 (de) 1995-12-01 1997-06-05 Philips Patentverwaltung Schaltungsanordnung zum automatischen Erkennen der Zeilennorm eines Videosynchronsignals
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6017221A (en) 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
US5824604A (en) 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5968374A (en) 1997-03-20 1999-10-19 Lam Research Corporation Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6024887A (en) 1997-06-03 2000-02-15 Taiwan Semiconductor Manufacturing Company Plasma method for stripping ion implanted photoresist layers
CA2296640A1 (en) 1997-07-18 1999-01-28 Boise Cascade Corporation Paper products comprising filler materials
US6406594B1 (en) 1997-07-18 2002-06-18 Boise Cascade Corporation Method for manufacturing paper products comprising polymerized mineral networks
US6051504A (en) 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
WO1999026277A1 (en) 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US6174451B1 (en) 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6380096B2 (en) 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6494991B1 (en) 1998-07-17 2002-12-17 Boise Cascade Corporation Paper products comprising filler materials preflocculated using starch granules and/or polymerized mineral networks
US6218640B1 (en) 1999-07-19 2001-04-17 Timedomain Cvd, Inc. Atmospheric pressure inductive plasma apparatus
US6207583B1 (en) 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6900138B1 (en) 1999-03-01 2005-05-31 Micron Technology, Inc. Oxygen plasma treatment for nitride surface to reduce photo footing
AU5448200A (en) 1999-05-27 2000-12-18 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
WO2001070517A1 (en) 2000-03-20 2001-09-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6652709B1 (en) * 1999-11-02 2003-11-25 Canon Kabushiki Kaisha Plasma processing apparatus having circular waveguide, and plasma processing method
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
AU2001243246A1 (en) 2000-03-20 2001-10-03 Tokyo Electron Limited High speed photoresist stripping chamber
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
EP1199378A4 (en) * 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
US6362109B1 (en) 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6806038B2 (en) 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation
US6777173B2 (en) 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
CN1682353A (zh) 2002-09-18 2005-10-12 马特森技术公司 去除材料的系统和方法
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US7078161B2 (en) 2003-02-11 2006-07-18 Axcelis Technologies, Inc. Plasma ashing process for removing photoresist and residues during ferroelectric device fabrication
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US20040214448A1 (en) 2003-04-22 2004-10-28 Taiwan Semiconductor Manufacturing Co. Method of ashing a photoresist
US7083903B2 (en) 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7799685B2 (en) 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US20050136681A1 (en) * 2003-12-23 2005-06-23 Tokyo Electron Limited Method and apparatus for removing photoresist from a substrate
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
CN1432190A (zh) * 2000-03-30 2003-07-23 兰姆研究有限公司 一种在使用下游等离子体的绝缘蚀刻器中的改进的抗蚀剂剥离

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023192402A1 (en) * 2022-03-31 2023-10-05 Lam Research Corporation Radiative heat windows and wafer support pads in vapor etch reactors

Also Published As

Publication number Publication date
KR101342779B1 (ko) 2013-12-19
KR20090012355A (ko) 2009-02-03
US20070264841A1 (en) 2007-11-15
CN101490810A (zh) 2009-07-22
US7605063B2 (en) 2009-10-20
WO2007133413A2 (en) 2007-11-22
TW200809959A (en) 2008-02-16
TWI423323B (zh) 2014-01-11
WO2007133413A3 (en) 2008-10-30

Similar Documents

Publication Publication Date Title
CN101490810B (zh) 光刻胶剥除室和在基片上蚀刻光刻胶的方法
US20060201911A1 (en) Methods of etching photoresist on substrates
US20080182422A1 (en) Methods of etching photoresist on substrates
US5628871A (en) Method of removing resist mask and a method of manufacturing semiconductor device
US6767698B2 (en) High speed stripping for damaged photoresist
US6693043B1 (en) Method for removing photoresist from low-k films in a downstream plasma system
US5997757A (en) Method of forming connection hole
EP0954877B1 (en) Method for reducing plasma-induced charging damage
EP0250092B1 (en) Method for removing resist
Fujimura et al. Heavy metal contamination from resists during plasma stripping
KR100794538B1 (ko) 잔류 포토레지스트 및 잔여 측벽 패시베이션을 제거하는인시츄 포스트 에칭방법
WO2001070517A1 (en) High speed stripping for damaged photoresist
KR20030049086A (ko) 기판 건식 세정 장치 및 방법
Gottscho et al. Use of plasma processing in making integrated circuits and flat-panel displays
JP2000164732A (ja) 半導体装置およびその製造方法
Horiike Emerging etching techniques
JP2003188151A (ja) 半導体集積回路装置の製造方法
KR100576430B1 (ko) 플라즈마 식각 장비에서의 폴리실리콘막 식각 방법
JP3360185B2 (ja) 有機材料膜の剥離方法
JP2000340543A (ja) ドライエッチング方法および装置
JPH11251292A (ja) ハロゲン含有ガスによる処理方法及びその装置
JPH04304632A (ja) レジスト除去方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant