CN101240413A - 再溅射铜籽晶层 - Google Patents

再溅射铜籽晶层 Download PDF

Info

Publication number
CN101240413A
CN101240413A CNA2008100081164A CN200810008116A CN101240413A CN 101240413 A CN101240413 A CN 101240413A CN A2008100081164 A CNA2008100081164 A CN A2008100081164A CN 200810008116 A CN200810008116 A CN 200810008116A CN 101240413 A CN101240413 A CN 101240413A
Authority
CN
China
Prior art keywords
copper
chamber
target
power
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2008100081164A
Other languages
English (en)
Inventor
唐先民
阿维德·苏尼达瑞杰恩
丹尼尔·柳伯恩
罗千
龚则敬
阿纳塔·苏比玛尼
仲华
傅新宇
王荣钧
炯·曹
吉克·于
约翰·福斯特
帕布拉姆·古帕拉加
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101240413A publication Critical patent/CN101240413A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/584Non-reactive treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • H01J37/3455Movable magnets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明公开了一种综合的铜沉积工艺,特别适用于在电化学镀铜之前,在狭窄的通孔部件中形成铜籽晶层,该工艺包括铜溅射沉积(160)和其后的对已沉积的铜的溅射蚀刻(162)步骤的至少一个循环,优选在相同的溅射腔室中执行。这种沉积是在促进高铜电离分数和强晶片偏置的条件下执行的,从而将铜离子牵引进通孔部件中。该蚀刻可应用氩离子完成,优选通过腔室四周的RF线圈电感激发,或通过形成于高靶功率以及强磁电管或通过应用RF线圈产生的铜离子完成。可执行两次或更多的沉积/蚀刻循环。在高铜离子化和低晶片偏置的条件下可执行最终的闪沉积(168)。

Description

再溅射铜籽晶层
技术领域
本发明一般涉及半导体集成电路形成中的溅射沉积。更确切的说,本发明涉及形成衬垫层(liner layer)中溅射沉积和溅射蚀刻的结合。
背景技术
磁控溅射长久以来一直用于诸如铝和铜的金属化水平延展层的沉积中。最近,磁控溅射已适用于在诸如层间电接触(contact),也即通孔(via),的高深宽比的孔中沉积衬垫层的更有挑战性的任务。图1的截面图中所示的用于铜金属化的通孔部件10形成于下电介质层14表面的导电特征12的上方。上电介质层16沉积于下电介质层14和其导电特征12上方,并且通孔18经蚀刻贯穿上电介质层16至导电特征12。在随后的高级集成电路的产生过程中,通孔18的宽度减小至65nm以下,而电介质层14、16的厚度基本保持在大约500至1000nm的常数。这样,通孔18的深宽比将明显的增大。在高深宽比孔中填充金属化和特别的衬垫层呈现出极大的挑战。
用于两个电介质层14、16的传统电介质材料为二氧化硅(硅石),但是,最近已研发出低k电介质材料,其中某些低k电介质材料由具有很大氢含量的碳氧化硅组成。并且,电介质材料可形成为多孔以得到极低的介电常数值。为了防止铜迁移到电介质材料中,将薄阻挡层沉积于通孔侧壁22以及通常也在上电介质层16顶部的场区24上。优选情况下,阻挡层20不形成于通孔底部26上,以减小与下面的导电特征12的接触电阻。用于铜金属化的传统阻挡层为钽,或者为单个Ta层或者为Ta/TaN阻挡层。钌和钨为可用于阻挡的其它耐高温金属。钌和钽的合金也很适合做电介质材料。现在已研发出通过钽、钌、或钌钽靶的磁控溅射将阻挡层20选择性涂覆到狭窄的通孔18中。类似的,通过将氮添加进溅射腔室的反应溅射来沉积氮层。
尽管可以应用无电镀,但是通常应用电化学镀法(ECP)用铜填充通孔18。ECP铜通常需要铜籽晶层作为电镀电极以及形成晶核并湿化EPC铜。因此,将铜籽晶层30沉积于通孔侧壁22、场区24和通孔底部26上的共形匹配层中。另外,已发展出满足这些要求的用于沉积铜的磁控溅射技术。这些技术依赖于溅射的铜原子的高电离分数和晶片的电偏置以将铜较深地牵引进通孔18以沉积成底部32以及恰当厚度的侧壁部分34。侧壁涂层可部分通过由晶片偏置加速的高能铜离子以及将铜从底部部分32再再溅射,即溅射蚀刻,到侧壁部分34上来完成。铜溅射也可在场区24的顶部上产生相对较厚的场部分36。在通孔18的顶部的场部分36的拐角上产生的明显的突起38形成了较窄的孔颈40。我们已经观察到,突起38大多数形成于场区20中的阻挡层20上方。即,孔颈40的最狭窄部分在铜场部分36的底部上方。
为了完成金属化,例如,通过电镀,在通孔18中镀铜。ECP铜过填充通孔18并沉积在场区24上。应用化学机械抛光(CMP)从通孔18外侧的阻挡层20上去除铜,从而仅在通孔18中保留铜。
金属化结构通常比图1的通孔结构18更复杂。通常,将通孔部件形成为尽可能宽度最窄的大致的正方形或圆形。另一方面,将较深的沟槽形成为宽度具有相对较窄的维度而沿沟槽方向具有更长的维度。图2的截面图中所示的更为复杂结构的双嵌入式互连结构包括电介质层16的下部分中的通孔部件42,而上部分中的更宽的,水平延伸的沟槽44连接通孔部件42并提供与更高的金属化平面的接触。通孔部件42和沟槽44的阻挡层和籽晶的沉积以及ECP填充都用单一程序执行。图1中的导电特征12可为在下电介质层14中双嵌入式金属化的沟槽。然而,溅射沉积于双嵌入式结构中的铜籽晶层46在沟槽44和通孔部件42的底部的拐角上形成明显的突起48。突起48在涂覆通孔侧壁中造成困难,由于其在通孔42的顶部形成的较窄的孔颈。
回到图1中的简单的通孔结构,尽管困难与双嵌入式结构基本相同,但是突起38更加趋向于限制沉积铜籽晶的溅射工艺的执行。如果铜籽晶层30相对较厚,突起38将变大而孔颈40将收缩,从而增加了用于溅射到通孔18中的有效的深宽比,结果造成通孔侧壁难于得到充分的覆盖。狭窄的孔颈40也阻止了电镀工艺中电解液的流动。如果铜籽晶层30的厚度降低,将减少突起问题。然而,侧壁部分34的最狭窄部分的厚度可能不足,而且侧壁部分34会中断以形成空隙,从而暴露下面的阻挡材料,而这很难使ECP铜成核。铜籽晶层30中的这种空隙会在临近通孔侧壁22的电镀铜中造成空白。
一些人相信铜电离分数和晶片偏置的增加造成了铜离子渐进形成不断生长的突起。然而,我们认为,高能铜离子并没有限制突起的生长。相反,高能铜离子趋向于将铜从突起再再溅射到突起下面的侧壁部分。结果,再溅射有效地将突起向下推到通孔中。当突起的程度略微减小时,如果将突起推到场阻挡平面以下,突起蚀刻将暴露通孔拐角上的阻挡层的小平面并将其蚀刻穿透,从而局部地损坏该阻挡。
需要另一种降低突起尺寸并提高填充高深宽比通孔的能力的解决方法。
另外,当电介质材料为诸如美国加州Applied Materials,Inc.提供的BlackDiamond II的含氢和碳的低k电介质材料时,又会出现相关的问题。这种材料不能提供可用于硅石的高各向异性蚀刻。当将电介质材料制成多孔以进一步减小介电常数时,这种问题更加恶化。如在图3的截面图中以夸张的方式所示的,通过蚀刻掩模对多孔含碳低k材料的电介质层50进行的构图蚀刻趋于不完全的各向异性,而为略微的各向同性,从而产生具有明显的凹入的侧壁54和在蚀刻掩模的边缘下的锐角56的通孔52。将铜溅射涂覆于凹入的侧壁54上会遇到与那些有突起的实例相类似的困难。结果,凹入的侧壁的最突出的部分可能不能完全由通过常规溅射沉积法沉积的铜籽晶层涂覆。
另外,在介电蚀刻工艺中待蚀刻贯穿的纵向结构可能比上面所述的更加复杂。如图4的截面图中所示的,例如氮化钛(TiN)的硬掩模层60经常被沉积于未构图的上电介质层16的上方。其根据上方的光刻胶掩模蚀刻为图案并随后将其用做上电介质层16的更进一步蚀刻的硬掩模以形成通孔18。同时,例如氮化硅(SiN)层的蚀刻停止层62经常沉积于下电介质层14以及其导电特征12的上方。其组成选择为不容易由介电蚀刻而蚀刻的成分,使得电介质层16过蚀刻,从而确保导电特征12的金属不被电介质蚀刻的高能离子蚀刻,并进一步确保未对准的掩模不会导致下电介质层14被明显的蚀刻。然而,各向异性电介质蚀刻很可能在接近硬掩模层60的介电材料中形成凹槽64并在与蚀刻停止层62的界面形成另一个凹槽66。传统的铜籽晶溅射沉积很难达到那些凹入的凹槽64和66。
铜籽晶层的溅射沉积不能完全涂覆凹入的侧壁54或凹槽64、66的各侧,导致与上述关于突起相同的问题。
发明内容
通过多步骤工艺在半导体集成电路中的通孔或其他孔中形成铜籽晶层。首先在制造高分数铜离子的条件下的等离子溅射工艺中沉积铜,并将晶片偏置以加速铜离子,并将其中的一些深层引入孔中。铜至少沉积在孔的底部和场区,并且在孔上方形成突起。其次,形成氩或铜等离子体,并将晶片偏置以加速氩或铜离子,并至少将它们中的一些较深地引入孔中。高能氩离子将通孔部件底部的铜再溅射到通孔部件侧壁上,同时也溅射蚀刻场区,以减小突起的尺寸。不会蚀刻在孔的顶部以下的突起。
在将铜电镀到孔的剩余部分以前,执行最后的铜溅射蚀刻。
在铜电镀之前,反复执行溅射沉积和蚀刻工艺以更多地填充孔。如果充分地重复溅射和蚀刻工艺,则该孔将通过最后的沉积步骤由铜填充,从而在溅射沉积后立刻执行化学机械抛光。
溅射沉积和蚀刻工艺可在单个等离子溅射腔室中执行。例如,在腔室中装备RF线圈,以激发氩等离子体或增加溅射铜原子的电离分数。溅射沉积适于较低的氩气压,较高的靶功率,以及较低的线圈功率。溅射蚀刻适于较高的氩气压,较低的靶功率,以及较高的线圈功率。至少在最初的铜沉积步骤以及氩溅射蚀刻步骤中,衬底应很强的偏置。
附图说明
图1所示为具有在铜籽晶层中产生的明显突起的传统通孔部件的截面图。
图2所示为在铜籽晶层中也具有突起的传统的双嵌入互连结构的截面图。
图3所示为通过部分各向同性电介质蚀刻产生的通孔的截面图。
图4所示为包括硬掩模和蚀刻停止层的通孔的截面图。
图5所示为适合于执行本发明方法的溅射腔室的截面图。
图6所示为图5的溅射腔室的功能的和示意性截面图。
图7所示为仅在溅射沉积后的通孔的理想化截面图。
图8所示为图7的通孔部件在氩溅射蚀刻后的理想化截面图。
图9和图10所示为对应于图7和图8的测试结构的扫描电子显微照片(SEM)的图像。
图11所示为在溅射沉积后测试结构中的通孔的SEM的图像。
图12、13、和14所示为图11的通孔部件在逐渐增多的氩溅射蚀刻后的SEM的图像。
图15为应用铜填充通孔,包括电镀的两个实施方式的流程图。
图16、17、18、以及19所示为在图15的方法中形成的通孔的示意性截面图。
图20所示为应用铜填充通孔但不包括电镀的流程图。
图21所示为图19的通孔在铜填充完成后的示意性截面图。
具体实施方式
在诸如通孔和双嵌入互连的高深宽比孔中填充铜,是通过优选情况下在单一铜溅射腔室中执行的铜溅射沉积和氩或铜溅射蚀刻的结合实现。高能溅射蚀刻减小突起的尺寸,并也趋于在称为再再溅射的工艺中将铜重新分布到侧壁的凹入部分。
尽管本发明的一些方案并不限于此,但是溅射沉积和溅射蚀刻优选在具有RF线圈的腔室中执行,在蚀刻过程中如果有铜靶溅射的情况下,RF线圈可以有限地激发用于氩溅射蚀刻的氩等离子体。Ding等人已在于2004年8月9日提交的美国专利申请10/915,139,现公布为美国专利申请公开2006/0030151的专利中对感应耦合溅射腔室中钽阻挡的溅射沉积/蚀刻顺序进行了详细描述。截面图图5中示出了类似的溅射腔室70。真空腔室72一般相对于中心轴74对称形成。其包括主腔室76、下适配器78、以及上适配器80,其全部电接地并彼此真空密封。用于晶片传送、抽真空操作以及气体提供的大多数复杂端口合并于主腔室76中,而更简单的适配器78、80可根据应用以及靶和晶片之间所需的空间使用所选高度和屏蔽物支架,更为简单地设计和制造。沟槽状下屏蔽物90和中部屏蔽物92分别支撑在下适配器78和上适配器80上,并使得它们电接地。上屏蔽物94支撑于绝缘体96上并电浮置。屏蔽物90、92、94保护腔室72的壁,使其不被沉积。下部的两个接地屏蔽物90、92作为溅射的阳极,而上部未接地的屏蔽物94积累电荷并将电子击退到等离子体中。RF线圈100设置于位于靶和基座之间的下部1/2或1/3空间的晶片周边的外侧。位于下屏蔽物90的多重绝缘支架102支撑RF线圈100并也提供RF功率和使得RF线圈接地。线圈100优选为单匝、由铜组成的接近管状线圈,并且在紧密间隔的导线中具有较小的间隙用于电源和接地。
铜靶106通过绝缘体108支撑于上适配器80,绝缘体108将电偏置靶106与接地的真空腔室和接地的屏蔽物90、92电绝缘。至少靶106的表面由至少90at%铜以及可能的意向性合金并且非意向性杂质总计少于10at%组成。基座110支撑待溅射处理的相对于靶106的晶片112。RF线圈110位于靶106和基座110之间的腔室的下部1/2甚至1/3处以在晶片112附近产生等离子体。屏蔽环114与杯状的下屏蔽物90的向上的唇缘互锁并悬于晶片112和基座110的边缘之上,以保护它们避免溅射工艺的影响。侧壁磁体系统116位于下适配器78的外侧,相同或部分低于RF线圈100的平面,以产生抑制等离子体扩散到腔室壁的磁垒(magnetic barrier)。磁体系统116可为垂直极化磁体的环形排列或设置在中心轴74附近的DC线圈。
图6所示为腔室的功能性截面图。氩气源120通过质量流量控制器122向腔室70中提供氩,以作为溅射工作气体或溅射蚀刻气体。DC电源124向靶106提供负电压以将氩激发为等离子体。将正氩离子吸引到负偏置靶106,以从其溅射铜。然而,在自持铜溅射中,一旦等离子体被激发,将切断氩气供应,而靶溅射将继续,溅射的铜离子被吸引回靶106,以溅射更多的铜。
位于靶106的背面的磁电管126包括垂直磁性的外部磁极128,其包围另一极性的内部磁极130。磁电管126优选为坚固的、较小的、并且在整个磁场强度上不均衡,外部磁极128的磁场大于其包围的内部磁极130的磁场。其在靶106前方形成磁场以捕获电子,并从而增加等离子体的密度并从而提高溅射率。铜靶为可自持的溅射,从而一旦等离子体激发,由于高密度等离子体使溅射的铜原子离子化,并且铜离子部分被吸引回靶106以从其溅射甚至更多的铜,所以氩气源压力可降低,甚至降至为零。为了产生更均匀的靶溅射,尽管磁电管126远离中心轴74,其可通过旋转沿中心轴74延伸的旋转轴134的电机132围绕中心轴旋转,以更均匀地溅射靶106。固定于旋转轴134的支臂136在转动中支撑磁电管126。
线圈RF电源136为RF线圈100提供RF功率以产生氩等离子体或增加远离靶106的区域中溅射的铜的电离分数。通常,靶106在溅射沉积中是DC供电,并且RF线圈100在溅射蚀刻晶片112时是RF供电。在铜离子蚀刻的情况下,一些DC电源需适用于靶106以产生铜原子。然而,RF源可为靶溅射供能。
偏置RF电源138通过电容耦合电路140电偏置基座110。在等离子存在时,电容耦合电路偏置引起基座110产生负性DC自偏置,以将离子从等离子体吸引并加速到晶片112。这样吸引的离子可为离子化的靶106溅射出的铜原子或最初由RF线圈100产生的氩离子。
这种溅射腔室可用于连续执行铜溅射沉积和溅射蚀刻步骤。
如图7的截面图中所示,将铜离子高偏置溅射沉积至通孔18中,会在上电介质层14顶部上生成较厚的铜场部分140,并在通孔18的顶部拐角生成一些突起142,以及在通孔部件18的底部上生成略微薄的铜底部分144,但很少沉积在通孔的侧壁22上。另一方面,如图8的截面示意图中所示,图7中的结构的高偏置氩溅射蚀刻充分地减小了场部分140的厚度,并减小了突起142的延伸,而非简单地将其向下推到通孔18中。由于高能氩离子溅射来自铜底部分144的铜,并有效地将溅射蚀刻过的铜转移到通孔侧壁22上的侧壁部分146上,氩溅射蚀刻也略微减小了铜底部分144的厚度。在图7的溅射蚀刻过程中,RF线圈可保持不供电,而对靶供电,以产生较高的铜离子分数。在图8的氩溅射蚀刻过程中,靶可保持不供电,而对RF线圈供电,以产生氩离子。在上述两种情况下,应偏置晶片以将铜或氩离子吸引并加速为高能,并较深地各向异性地穿透通孔18。
可拍摄扫描电子显微照片,以用实验的方法确定沉积和蚀刻两个步骤。如图9的截面图中所示,应用38kW靶功率和1000W晶片偏置功率将铜溅射入65nm沟槽150中,以形成其突起154几乎关闭沟槽150的铜薄膜152。随后将晶片传送至为偏置晶片的氩溅射蚀刻配置的预清洗腔室。在溅射蚀刻后,如截面图图10中所示,铜薄膜152的场部分的厚度充分减小到突起154从上部蚀刻并因此有效地缩减的程度。底部分的厚度略微减小而侧壁部分的厚度增加。
也可以更具系统性的实验设置拍摄SEMS。如截面图图11中所示,将100nm或140nm的铜溅射沉积到狭窄的沟槽中以形成产生了明显的突起158的铜薄膜156。突起158明显地位于由诸如阻挡层的下面层的位置决定的拐角特征之上。相继氩溅射蚀刻至25nm、50nm、以及70nm的深度,如在场区中测得的,将产生分别如图12、13、以及14的截面图中所示的结构。在另一种实施方式中,这些蚀刻深度对应于回蚀率30%、60%、以及80%。氩蚀刻程度的增加会减小场铜的厚度,减小突起158的凸出,并通常降低突起158。我们观察到,一旦孔颈的最窄部分与下面的特征同一平面时,进一步的氩蚀刻将无法发改进突起158。
溅射蚀刻步骤依赖于将诸如氩的高能重离子向晶片加速并溅射来自晶片的材料。单个充电离子功率EION取决于晶片浮动电位VFLOAT以及由晶片偏置决定的等离子体电压VPLASMA。根据下式:
EION=eVFLOAT+eVPLASMA
通常,浮动电位VFLOAT小于20伏,所以需要通过提高提供至基座电极的RF功率,提高离子体电压VPLASMA以获得更大的离子功率EION。通过增加诸如电容耦合等离子体中的等离子体电位可有效提高离子功率。等离子体氩离子和从靶溅射出的铜离子有效地溅射沉积的铜,而且它们具有各自的优势。通常,氩等离子体通常可达到更高的电离密度,但是氩离子去除了通孔部件底部的材料,并且氩离子蚀刻似乎降低了间隙填充的等级。在另一方面,高能铜离子同时磨减了间隙顶部的铜突起并重新分布间隙底部的铜。RF线圈100也允许应用低于0.4毫托的氩的极低压强铜溅射蚀刻。
产生溅射蚀刻的离子的能量影响间隙填充的性能。更高能的离子更有效地去除突起并打开孔颈,以在通孔部件中生成更好的籽晶层,并促进ECP填充,从而促进间隙填充。在70%的回蚀中320eV的离子能会比70eV的离子能生成明显更好的间隙填充。
大概由于铜在高温下回流,所以也发现蚀刻工艺中基座的温度和因此的晶片温度在减小突起中起到重要的作用。由于在1kW的RF线圈功率和1kW的晶片偏置下,晶片温度从28℃升至150℃,所以突起明显的减小。然而,进一步升温至250℃生成明显的铜突起,和明显的底部涂覆。在总体而言,大约50或70℃的沉积温度可降低突起的尺寸,以促进溅射进入通孔。甚至大约150℃的更高的沉积温度促进已经沉积的铜再流入且留在通孔中,从而改善了侧壁涂覆。然而,大约250℃的沉积温度会引起铜的薄层凝聚成局部岛状,因此应在一些应用中避免,以保证连续的薄籽晶层。
应用用于溅射沉积和溅射蚀刻的相同腔室可实现多种的铜间隙填充工艺。如流程图图15中所示的,沉积步骤160和蚀刻步骤162的单一的或重复的次序可充分地打开通孔;在ECP步骤164中,将铜电镀到通孔中,并将其填充;而在CMP步骤166中,同过化学机械抛光去除通孔外侧的剩余的铜。如图16中所示,沉积步骤160生成具有较厚的场部分和较薄的侧壁部分的铜薄膜170。用于在300mm晶片160上沉积铜的技术的例子包括向300mm晶片的靶提供20至56kW的DC功率,并在激发后在较低的腔室压强下,向基座提供150至1000W的RF功率。
如图17所示,蚀刻步骤162减小场厚度,并将一些底部部分溅射到通孔侧壁上,特别是在底部。实施蚀刻步骤162的几个相关方法包括在13.56MHz或其他频率下显著晶片偏置的DC磁电管溅射。然而,各种不同的蚀刻方法在重要的细节上是不同的,并在重要的要求上产生不同的结果。
在一种方法中,将相对较低值的DC功率提供至靶,而且RF线圈给出很强的功率,从而,大多数晶片蚀刻受到氩离子的影响。氩溅射可以有效地去除铜底部分32,但是其似乎在通填充孔的工艺中产生了困难。
第二种方法可获得较高的铜电离分数,并为晶片提供较高的偏置功率和极少的氩。这样,晶片蚀刻主要受到铜离子的影响。用于允许自持溅射的铜溅射,减小氩气压或者停止其对主腔室的供应。铜溅射蚀刻受益于底部附近的再溅射并且促进了铜孔填充。
铜离子蚀刻需要产生较高铜电离分数的磁电管并一般需要额外的测量手段以实现良好的蚀刻均匀性。这些额外的测量手段包括侧壁磁体或接近晶片的电磁体。铜离子溅射可在两种不同类型的腔室中完成。通过向靶提供较高的DC功率而不应用RF线圈,电容耦合等离子体可产生足够的等离子体密度以产生许多铜离子。该溅射工艺条件可至少接近那些要求自持溅射的条件。然而,电容耦合溅射蚀刻缺乏由RF线圈提供的附加工艺控制。另一方面,电感耦合等离子体依靠RF感应线圈以支持晶片附近的等离子体从而提高铜离子化。电感耦合等离子体的产生减弱了对较高靶功率和强磁电管的需求,所以用于提高蚀刻均匀性的辅助手段就不太重要。
通过晶片的双频率(HF/VHF)偏置,例如13.56MHz以及60MHz,通过介于靶和基座中间的RF电感线圈,或通过应用基座附近的辅助电极的靶的附加VHF偏置,例如60MHz。提高了高等离子体密度,特别是用于氩离子蚀刻的产生。
电感耦合氩蚀刻的例子包括:向靶提供0到1kW之间的DC功率;向电感线圈提供2MHz下450W至3kW之间的RF功率;以及向基座提供13.56MHz下400至1250W之间的RF功率。在氩蚀刻中,磁电管相对不是很重要。氩腔室压强维持在0.4至5毫托之间,并向四重电磁体阵列的底部内和外电磁体提供-17A到17A的反向旋转DC电流,该四重电磁体由Gung等人在美国专利申请公开2005/0263390中描述,其全文在此引用作为参考。
用于电容耦合氩离子蚀刻的技术的例子包括:向由强磁电管扫描的靶提供1至10kW的DC功率;向基座提供13.56MHz下800至1250W的RF偏置功率;并将氩腔室的压强保持在0.4至1.5毫托之间。
用于电容耦合铜离子蚀刻的技术的例子包括:向由强磁电管扫描的靶提供15至30kW的DC功率;向基座提供13.56MHz下1.5至2.5kW的RF偏置功率;并将氩腔室的压强保持在0.4至1.5毫托之间。高偏置功率产生净蚀刻率。
用于双频率基座的技术的例子包括:向基座提供60MHz下500至200W的VHF功率以及13.56MHz下400至1200W的HF功率;并将氩腔室的压强保持在2至30毫托之间。
用于位于腔室下部的辅助环形电极的技术的例子包括:向辅助电极提供60MHz下1kW的VHF功率并向基座提供13.56MHz下1kW的HF功率,并且氩压强为0.5至4毫托。
用于溅射蚀刻腔室的技术的例子包括:在1至4毫托的排气压强下,向基座电极提供1的2kW的VHF功率并向靶提供60MHz下1至2kW的VHF功率,以及向晶片基座提供13.56MHz下0至1.2kW的HF功率。
图17的结构对于ECP填充是足够的。然而,图15的可选的闪铜沉积(flashcopper deposition)步骤168可在ECP铜填充步骤164之前执行以在场区,尤其是在通孔顶部的小平面的任何铜空白中涂覆铜薄层,从而确保铜的连续性。闪铜沉积步骤168可在相同的溅射蚀刻腔室中执行,并且应用最小的或不用晶片偏置,从而最小化再溅射。在一种方法中,优选通过向靶提供15至40kW的DC功率,产生高电离分数和低再溅射率。低晶片偏置产生更加各向同性的铜离子溅射流量并减小了再溅射。
上述工艺已应用于在测试晶片中填充大量通孔,在测试晶片中,通孔部件具有35至50nm的临界尺寸,其深宽比为大于5∶1。对ECP填充结构的界面采集SEM图像。在对比试验中,沉积50nm的籽晶铜并随后应用ECP铜,而不采用中间蚀刻填充通孔。发现很大部分的通孔形成具有通过其底部1/3至1/2延伸的空间。当铜籽晶以本发明的氩溅射蚀刻的40%回蚀时,空白的通孔的数量将减少但不会消除。当回蚀扩大到70%和80%时,基本上全部的通孔将被完全填充。
在本发明工艺的进一步实施方式中,可重复沉积步骤160和蚀刻步骤162,以分别产生如图18和图19的截面图中所示的结构。其效果是增加了铜籽晶层底部和侧壁部分的厚度,而保持了场部分的厚度和突起的程度。在这一点上,通孔18甚至更好的为ECP铜填充做好准备。两次或三次沉积和蚀刻极大地促进了ECP间隙填充。
仍是在进一步实施方式中,仍可多次重复沉积步骤160和蚀刻步骤162,例如,总共三或四次,如流程图图20中所示,以基本填充通孔18。在这种情况下,最终铜沉积步骤174完全填充通孔18,如截面图图21中所示,直至通孔18的底部转移到下面的层的特征之上。这样,就不需要铜电镀,而图21的结构可直接进行CMP平坦化。最终铜沉积步骤不是在留在铜中的狭窄的通孔中运行的,从而不需要强晶片偏置,而且它近似于最后的闪铜沉积步骤。
本发明可以适于减少相继溅射沉积步骤之间的晶片偏置量。
本发明可以实践于单独的溅射沉积和溅射蚀刻腔室。
本发明提供了几个制造方法,可用于将铜籽晶层溅射到深宽比升高的通孔中的可商购的商业设备中。

Claims (18)

1.一种用于在电介质层中的孔中形成铜金属化的铜沉积工艺,其在具有铜靶和支撑待执行溅射工艺的衬底的基座电极的磁电管溅射腔室中执行,该工艺包括以下步骤:
第一沉积步骤,其包括向铜靶提供第一靶级别的DC功率,以激发腔室中的第一等离子体,从而溅射来自靶的铜,并应用第一偏置级别的RF功率电偏置基座电极以在衬底上沉积铜;以及
后续的蚀刻步骤,其在不同的工艺条件下执行,以激发腔室中的第二等离子体,并应用第二偏置级别的RF功率电偏置基座,从而应用离子溅射蚀刻沉积在所述衬底上的铜。
2.根据权利要求1所述的工艺,其特征在于,
所述腔室包括在所述腔室内卷绕的RF线圈,以及
其中所述蚀刻步骤包括:将小于所述第一靶级别的DC功率提供给所述铜靶,在所述腔室中引入氩,向所述线圈提供RF功率,以及在所述第二等离子体中,应用氩离子溅射蚀刻所述衬底。
3.根据权利要求1所述的工艺,其特征在于,
在所述蚀刻工艺中,所述腔室中引入不大于1.5毫托的氩,以及
其中所述蚀刻步骤包括向所述铜靶提供第二靶等级的DC功率,并在所述第二等离子体中,应用铜离子溅射蚀刻所述衬底。
4.根据权利要求1所述的工艺,其特征在于,
所述腔室包括在所述腔室内卷绕的RF线圈,以及
其中所述蚀刻步骤包括向所述线圈提供RF功率。
5.根据权利要求1所述的工艺,其特征在于,进一步包括以电镀工艺中使用铜填充所述孔的剩余部分的后续步骤。
6.根据权利要求1所述的工艺,其特征在于,进一步包括将来自所述靶的铜溅射到所述衬底上的后续第二沉积步骤。
7.根据权利要求6所述的工艺,其特征在于,其中该后续第二沉积步骤包括向所述基座电极提供小于所述第一偏置等级的第三偏置等级的RF功率。
8.根据权利要求7所述的工艺,其特征在于,所述第二沉积步骤包括,应用小于第一偏置等级的第一偏置等级RF功率,或者电浮所述基座电极,或者电偏置所述基座电极。
9.根据权利要求6所述的工艺,其特征在于,在所述第二沉积步骤前,多次反复所述第一沉积步骤和蚀刻步骤
10.根据权利要求9所述的工艺,其特征在于,进一步包括不应用中间铜电镀工艺而对所述衬底进行后续的化学机械抛光。
11.根据权利要求9所述的工艺,其特征在于,所述第一和第二沉积步骤以及所述蚀刻步骤使用铜填充所述孔。
12.根据权利要求1所述的工艺,其特征在于,进一步包括,在所述沉积步骤中,将所述基座的温度保持在50至250℃的范围内。
13.根据权利要求12所述的工艺,其特征在于,其中所述范围为150至250℃。
14.一种用于在电介质层中的孔中形成铜金属化的铜沉积工艺,其在具有铜靶、所述腔室四周的RF线圈、和支撑将要执行溅射工艺的衬底的基座电极的磁电管溅射腔室中执行,该工艺包括以下步骤:
第一沉积步骤,其包括向所述铜靶提供第一靶级别的DC功率,并向所述RF线圈提供不大于第一线圈级别的RF功率,以激发所述腔室中的第一等离子体,从而溅射来自所述靶的铜,并应用第一偏置级别的RF功率电偏置所述基座电极以在所述衬底上沉积铜;以及
后续的蚀刻步骤,其包括向所述铜靶提供第二靶级别的DC功率,向所述RF线圈提供大于所述第一线圈级别的第二线圈级别的RF功率,以激发所述腔室中的第二等离子体,并应用第二偏置级别的RF功率电偏置所述基座,从而应用铜离子溅射蚀刻沉积在所述衬底上的铜。
15.根据权利要求14所述的工艺,其特征在于,所述蚀刻步骤在氩压强不超过1.5毫托的腔室中执行。
16.根据权利要求14所述的工艺,其特征在于,在所述沉积步骤中,将所述基座的温度保持在50至250℃的范围内。
17.一种用于在电介质层中的孔中形成铜金属化的铜沉积工艺,其在具有铜靶、所述腔室四周的RF线圈、和支撑将要执行溅射工艺的衬底的基座电极的磁电管溅射腔室中执行,该工艺包括以下步骤:
第一沉积步骤,其包括向所述铜靶提供第一靶级别的DC功率以激发所述腔室中的第一等离子体,从而溅射来自所述靶的铜,并应用第一偏置级别的RF功率电偏置所述基座电极以在所述衬底上沉积铜;以及
后续的蚀刻步骤,其包括在所述溅射腔室中引入氩,向所述RF线圈提供RF功率,以激发所述腔室中的氩等离子体,并应用第二偏置级别的RF功率电偏置所述基座,从而应用氩离子溅射蚀刻沉积在所述衬底上的铜。
18.根据权利要求17所述的工艺,其特征在于,在所述沉积步骤中,将所述基座的温度保持在50至250℃的范围内。
CNA2008100081164A 2007-02-08 2008-02-04 再溅射铜籽晶层 Pending CN101240413A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US88889307P 2007-02-08 2007-02-08
US60/888,893 2007-02-08
US11/838,796 2007-08-14

Publications (1)

Publication Number Publication Date
CN101240413A true CN101240413A (zh) 2008-08-13

Family

ID=39684902

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2008100081164A Pending CN101240413A (zh) 2007-02-08 2008-02-04 再溅射铜籽晶层

Country Status (5)

Country Link
US (1) US20080190760A1 (zh)
JP (1) JP2008205459A (zh)
KR (1) KR20080074744A (zh)
CN (1) CN101240413A (zh)
TW (1) TW200905005A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102036460A (zh) * 2010-12-10 2011-04-27 西安交通大学 平板式等离子体发生装置
CN102290370A (zh) * 2010-06-21 2011-12-21 无锡华润上华半导体有限公司 导电插塞的制作方法
CN104878353A (zh) * 2014-02-27 2015-09-02 烟台大丰轴瓦有限责任公司 一种真空磁控轴瓦减磨合金层溅镀工艺
CN114927413A (zh) * 2022-07-19 2022-08-19 广州粤芯半导体技术有限公司 粘附金属层的溅射方法及半导体器件的制造方法
CN115038809A (zh) * 2020-06-16 2022-09-09 应用材料公司 使用脉冲偏压的悬垂部减少
CN115584469A (zh) * 2022-09-13 2023-01-10 北京智慧能源研究院 一种增加碳化硅台阶金属层覆盖厚度的方法及相关设备

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7994047B1 (en) * 2005-11-22 2011-08-09 Spansion Llc Integrated circuit contact system
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US7682966B1 (en) * 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US20100096255A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gap fill improvement methods for phase-change materials
US20100096253A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc Pvd cu seed overhang re-sputtering with enhanced cu ionization
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8563428B2 (en) * 2010-09-17 2013-10-22 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US8993434B2 (en) * 2010-09-21 2015-03-31 Applied Materials, Inc. Methods for forming layers on a substrate
JP5392215B2 (ja) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
JP5788785B2 (ja) * 2011-01-27 2015-10-07 東京エレクトロン株式会社 Cu配線の形成方法および成膜システム
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US8729702B1 (en) 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
KR102246880B1 (ko) * 2015-02-10 2021-04-30 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
JP6171108B2 (ja) * 2015-02-25 2017-07-26 株式会社アルバック 成膜装置及び成膜方法
US11482404B2 (en) 2015-12-21 2022-10-25 Ionquest Corp. Electrically and magnetically enhanced ionized physical vapor deposition unbalanced sputtering source
US10957519B2 (en) 2015-12-21 2021-03-23 Ionquest Corp. Magnetically enhanced high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond-like films
US11359274B2 (en) 2015-12-21 2022-06-14 IonQuestCorp. Electrically and magnetically enhanced ionized physical vapor deposition unbalanced sputtering source
US10227691B2 (en) 2015-12-21 2019-03-12 IonQuest LLC Magnetically enhanced low temperature-high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond like films
US11823859B2 (en) 2016-09-09 2023-11-21 Ionquest Corp. Sputtering a layer on a substrate using a high-energy density plasma magnetron
AT519107B1 (de) * 2017-01-23 2018-04-15 Miba Gleitlager Austria Gmbh Verfahren zur Herstellung eines Mehrschichtgleitlagerelementes
US10438846B2 (en) 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
CN111508926B (zh) * 2019-01-31 2022-08-30 奥特斯(中国)有限公司 一种部件承载件以及制造部件承载件的方法
CN112466757A (zh) * 2020-11-24 2021-03-09 北京北方华创微电子装备有限公司 薄膜沉积方法及基片
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US6899796B2 (en) * 2003-01-10 2005-05-31 Applied Materials, Inc. Partially filling copper seed layer
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7294574B2 (en) * 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102290370A (zh) * 2010-06-21 2011-12-21 无锡华润上华半导体有限公司 导电插塞的制作方法
CN102036460A (zh) * 2010-12-10 2011-04-27 西安交通大学 平板式等离子体发生装置
CN102036460B (zh) * 2010-12-10 2013-01-02 西安交通大学 平板式等离子体发生装置
CN104878353A (zh) * 2014-02-27 2015-09-02 烟台大丰轴瓦有限责任公司 一种真空磁控轴瓦减磨合金层溅镀工艺
CN115038809A (zh) * 2020-06-16 2022-09-09 应用材料公司 使用脉冲偏压的悬垂部减少
CN114927413A (zh) * 2022-07-19 2022-08-19 广州粤芯半导体技术有限公司 粘附金属层的溅射方法及半导体器件的制造方法
CN114927413B (zh) * 2022-07-19 2022-11-04 广州粤芯半导体技术有限公司 粘附金属层的溅射方法及半导体器件的制造方法
CN115584469A (zh) * 2022-09-13 2023-01-10 北京智慧能源研究院 一种增加碳化硅台阶金属层覆盖厚度的方法及相关设备

Also Published As

Publication number Publication date
TW200905005A (en) 2009-02-01
KR20080074744A (ko) 2008-08-13
US20080190760A1 (en) 2008-08-14
JP2008205459A (ja) 2008-09-04

Similar Documents

Publication Publication Date Title
CN101240413A (zh) 再溅射铜籽晶层
US6498091B1 (en) Method of using a barrier sputter reactor to remove an underlying barrier layer
US8449731B1 (en) Method and apparatus for increasing local plasma density in magnetically confined plasma
US7659204B2 (en) Oxidized barrier layer
US7294574B2 (en) Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US7504006B2 (en) Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7399943B2 (en) Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7214619B2 (en) Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7964504B1 (en) PVD-based metallization methods for fabrication of interconnections in semiconductor devices
JP5551078B2 (ja) Hipimsによる反応性スパッタリング
US20020117399A1 (en) Atomically thin highly resistive barrier layer in a copper via
US20010050226A1 (en) Integrated copper fill process
JP5521136B2 (ja) 3次元半導体パッケージングにおけるSi貫通ビアのメタライゼーションへのHIPIMSの適用
US8765596B1 (en) Atomic layer profiling of diffusion barrier and metal seed layers
KR20130093612A (ko) 고 종횡비 피처들에서 금속을 증착하는 방법
KR20130122005A (ko) 가공물을 통해 인가되는 소스 및 바이어스 전력 주파수들을 이용한 금속 플라즈마 기상 증착 및 재-스퍼터를 위한 장치
TW201227827A (en) Film forming method and film forming device
JP2011500967A5 (ja) 3次元半導体パッケージングにおけるSi貫通ビアのメタライゼーションへのHIPIMSの適用
TW200935556A (en) Multi-step cu seed layer formation for improving sidewall coverage
US6200433B1 (en) IMP technology with heavy gas sputtering
US7268076B2 (en) Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
TW200824041A (en) Method and apparatus of forming film, and recording medium
US6458251B1 (en) Pressure modulation method to obtain improved step coverage of seed layer
TW200935557A (en) In situ cu seed layer formation for improving sidewall coverage
WO2002009149A2 (en) Post deposition sputtering

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20080813