CN101069147A - 使用多个参考电路来控制电压和频率的装置和方法 - Google Patents

使用多个参考电路来控制电压和频率的装置和方法 Download PDF

Info

Publication number
CN101069147A
CN101069147A CNA2004800445071A CN200480044507A CN101069147A CN 101069147 A CN101069147 A CN 101069147A CN A2004800445071 A CNA2004800445071 A CN A2004800445071A CN 200480044507 A CN200480044507 A CN 200480044507A CN 101069147 A CN101069147 A CN 101069147A
Authority
CN
China
Prior art keywords
circuit
frequency
voltage
transistorized
clock signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800445071A
Other languages
English (en)
Other versions
CN101069147B (zh
Inventor
安东·罗森
迈克尔·普里尔
丹·库兹明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Publication of CN101069147A publication Critical patent/CN101069147A/zh
Application granted granted Critical
Publication of CN101069147B publication Critical patent/CN101069147B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Sources (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一种用于控制供应给系统的电压电平和时钟信号频率的方法和装置。所述方法包括:提供(410)表示至少一个估计电路的特性的至少一个参考电路,其中至少一个估计电路包括多个类型的晶体管;向至少一个参考电路供应(420)至少一个输入信号,并且监控一个或多个参考电路中至少一个参考电路的特性;确定(430)提供给至少一个估计电路的至少一个输出信号的特征;以及提供(440)至少一个输出信号给一个或多个估计电路。所述装置包括表示至少一个估计电路(110、120、130)的特性的至少一个参考电路(310、320、330),其中至少一个估计电路包括多个类型的晶体管;以及监控电路(312、314、316、322、324、326、332、334、336、350、110),适于监控至少一个参考电路的特性以及确定提供给至少一个估计电路的至少一个输出信号的特征。

Description

使用多个参考电路来控制电压和频率的装置和方法
技术领域
本发明涉及用于在基于处理器的设备内控制电源电压和时钟信号频率的装置和方法。
背景技术
移动设备,诸如但不限于,个人数据设备、蜂窝电话、无线电装置、寻呼机、笔记本电脑等,需要在再次充电之前工作相对较长的时期。这些移动设备通常包括一个或多个处理器以及多个存储模块和其他外围设备。
为了降低移动设备的功耗,提议了各种功耗控制技术。第一种技术包括降低移动设备的时钟频率。第二种技术被称为动态电压缩放(DVS)或另外被称为动态电压和频率缩放(DVFS),包括改变供应给处理器的电压以及改变提供给处理器的时钟信号的频率,以响应处理器的计算负载要求(也称为吞吐量)。较高的电压电平与较高的操作频率和较高的计算负载相关联,但是也与较高的能耗相关联。
基于晶体管的设备的功耗很大程度上受到流过晶体管的泄露电流的影响。泄露电流响应于包括晶体管阈值电压(Vt)、晶体管温度等的各种参数。具有较高Vt的晶体管相对较慢但具有较低的泄漏电流,而具有较低Vt的晶体管相对较快但具有较高的泄漏电流。
各种晶体管还可能由于其能够驱动的电流量而不同。典型地,输入/输出(I/O)电路包括能够驱动相对较大电流的晶体管。由于温度变化以及处理上的变化,I/O晶体管的阻抗可能变化并且可能导致阻抗失配,从而也会引起功率浪费。
图1图示说明了两个晶体管类型以及存储设备的特性。第一和第二电压区12和14描述典型低阈值电压晶体管的特性。第一电压区12开始于大约0伏特,结束于第三电压电平VL3。第二电压区开始于VL3,结束于高电压电平,诸如最大电压电平VDD。低阈值电压晶体管工作于第二电压区14,并不期望工作于第一电压区12。
第三和第四电压区22和24描述典型高阈值电压晶体管的特性。第三电压区22开始于大约0伏特,结束于第一电压电平VL1。第四电压区24开始于VL1,结束于高电压电平,诸如最大电压电平VDD
高阈值电压晶体管工作在第四电压区24,并不期望工作于第三电压区22。
第五、第六和第七电压区32、34和36描述了典型存储设备的特性。第五电压区32开始于大约0伏特,结束于第二电压电平VL2。存储设备必须接收超过VL2的电压以储存信息。第六电压区34开始于VL2,结束于第四电压电平VL4。存储设备必须接收超过VL4的电压以允许读和写操作。第七电压区36开始于VL4,结束于高电压电平,诸如最大电压电平VDD
第二、第四和第七电压区14、24、和36可以被认为是优化区,因为它们提供了功耗与性能之间的折衷。在许多系统中,各种类型的晶体管,诸如上面提及的三种类型,都包括在单个电压/频率区内,因此它们接收相同的电压和相同的时钟信号。
可以描述,电压VL1约为0.5伏特,VL2约为0.6伏特,VL3约为0.7伏特,VL4约为0.9伏特,VDD约为1.5伏特。注意到,这些值都只是作为例子来提供。进一步注意到,即使使用与发明人相同的集成电路,所述值可能也是变化的,以响应各种条件,诸如温度变化和处理的变化。
需要提供一种方法和一种装置,用于提供电压和时钟信号给包括各种类型晶体管的系统。
发明内容
本发明提供了一种用于控制供应给系统的电压电平和时钟信号频率的方法和装置,如权利要求中所述。
附图说明
通过下面的详细描述并结合附图,将更全面的理解和认识本发明,在附图中:
图1图示说明了两个晶体管类型以及存储器电路的特性;
图2图示说明了根据本发明实施例的装置;
图3是根据本发明实施例的装置的各种模块的示意图;以及
图4是根据本发明实施例的方法的流程图。
具体实施方式
下面的描述涉及包括单独频率区的系统。注意到,这可以适用于包括多个频率区的系统。典型地,多个频率区需要对每一频率区的单独控制。
下面的描述还涉及三个晶体管类型,包括I/O晶体管、低阈值晶体管和高阈值晶体管。提及这三种类型仅仅是为了方便,因为其他类型晶体管也可以由影响供应给设备内各种晶体管的输入信号(诸如时钟信号、电源电压电平、I/O配置信号)的参考电路所表示。例如,系统可以包括(参考电路可以表示)两个以上的不同的晶体管,其特征在于具有两个以上的不同阈值电压。而对于另一例子,系统可以包括(参考电路可以表示)超过一种类型的晶体管,其不同在于它们所能够驱动的电流量。
进一步注意到,尽管下面的例子涉及MOSFET晶体管,但不必需这样,因为其他处理所制造的晶体管也可以由参考电路来表示。
本发明监控一个或多个参考电路的特性,并且作为响应,可以确定供应给一个或多个估计电路的电压电平、提供给一个或多个估计电路的时钟信号频率,或者甚至改变一个或多个估计电路的配置。典型的估计电路包括存储模块、处理器、外设、I/O驱动器、或上述每一设备的一部分或零件。
术语“估计电路”反映出估计电路的特性(尤其是在性能和功耗方面)是响应于参考电路的特性而估计的。
术语“晶体管类型”指的是特征在于具有特定一组参数的晶体管。不同类型的晶体管彼此不同之处在于至少一个特征,诸如阈值电压或晶体管所驱动的电流量。例如,特征在于低阈值电压的第一晶体管就被视为与特征在于高阈值电压的晶体管不同类型。注意到,甚至相同类型的晶体管也可以具有略微不同的特征,这是由于各种原因,包括温度不同,设计、处理的变化等。
图2是根据本发明实施例的包括多个部件的系统100的示意图。这些部件可包括处理器(或能够执行代码的控制器)110、存储设备120、I/O模块130、中断请求控制器140、DMA控制器145、时钟信号源220、电压源210、以及可选的同步控制单元230。系统100还包括硬件模块200。便利地,处理器110执行软件模块300,软件模块300与硬件模块200一起形成装置232。每一所述部件都可以是估计电路,这取决于硬件模块300的配置,尤其是取决于参考电路的结构。
根据本发明实施例,软件模块300包括电压和时钟信号源配置模块310,其能够配置时钟信号源220和电压源210。所述配置可以通过各种现有技术方法来执行,诸如将控制值写入这些源访问的寄存器。
注意,当电源电压和时钟信号提供给集成电路时,它们的值应该彼此对应。因此,时钟频率的变化通常涉及电源电压的变化,但不必需这样。在各种情况中,通常只改变所述信号之一来匹配其他信号的值。为了解释的方便,假设改变时钟信号频率和电源电压(这一对被称为电压/频率)。
电压和时钟信号源配置模块310能够从硬件模块内至少一个电路(通常经由中断请求控制器140发布的中断)接收改变电压/频率的请求,将该请求转变为时钟信号源220和电压源210能够理解且能够访问的形式。
根据本发明另一实施例,处理器110还执行操作系统代码300。
根据又一实施例,硬件执行的任务和软件执行的任务之间的划分可以变化的。例如,更多的任务,包括改变频率/电压的决定,可以由软件来执行。
硬件模块200连接到中断请求控制器140、处理器110和同步控制单元230。同步控制单元230连接到系统100的所有其他部件。进一步注意,某些部件可以连接到其他部件(例如,存储设备120可以连接到处理器110),但是这不是必需的。
注意,系统100可以具有各种配置并且图2中所图示的部件只表示系统100的一个示例配置。典型地,系统100可包括在诸如蜂窝电话的移动设备内,但其可以是移动设备、诸如桌面电脑的静态设备等的一部分。
根据本发明另一实施例,时钟信号源220连接到系统100的各种部件,电压源210连接到系统100的各种部件,而处理器110或甚至同步控制单元230发送控制信号到所述时钟信号源220和电压源210。
在图2中,系统100的各种部件是由框来表示的,多个框通过线彼此相连。为了解释的方便,只图示了各种部件之间交换的一部分信号。虚线表示控制线、总线或信号,而连续线表示时钟信号、电源电压信号或其组合。
系统100从同步控制单元230接收电源电压VDD与一定频率F的时钟信号CLK,同步控制单元230将VDD的水平与F进行同步,从而防止例如供应电压VDD不允许系统100工作于时钟信号的频率F的情况。同步控制单元230连接到时钟信号源220以便接收时钟信号,还连接到电压源210以便接收电源电压。
便利地,时钟信号源220包括两个锁相环,其中一个正供应当前频率的当前时钟信号,另一个可以被调谐为供应具有下一频率的下一时钟信号。电压源还可以包括两个电压源,但是这不是必需的。进一步注意,同步控制单元230可以由软件部件实现,甚至可以是处理器110的完整零件。只有一个PLL逐渐变化。
硬件模块200包括表示各种晶体管和/或处理器110、存储设备120和I/O模块内的部件的多个参考电路。硬件模块200适于确定供应给这些部件的电压,以响应参考电路的特性,还确定I/O模块的配置。
根据本发明实施例,硬件模块200包括至少一个参考电路,其提供对属于诸如系统10的系统的长导线(也称为“互连”)的指示。
发明人使用附加的参考电路,其包括来自某一类型的晶体管(例如n型和p型高阈值电压晶体管),其中,环形振荡器的不同级通过相对长的互连而连接。添加这样的参考电路可以提供对估计电路的特性的指示,其中至少某些估计电路连接到长互连。典型地,所述长互连减慢了环形振荡器,并且在评估估计电路的性能时要考虑所述效应。
I/O模块通常包括典型地彼此并联连接的多个I/O晶体管,其中,通过有选择地激活一个或多个所述I/O晶体管,所述I/O模块的阻抗可以改变,以提供想要的阻抗。
根据本发明实施例,系统100的至少某些部件在系统100进入待机状态时关闭。例如,当系统100进入待机状态时,硬件模块200可以关闭。
可替换地,只激活硬件模块200的一部分,以确保:某些部件,诸如存储设备120,在正常操作中接收至少最小电压,而且在存储器的状态保持时还是最小电压。
根据本发明各种实施例,装置232一直监控参考电路并且决定是否改变提供给系统100的各种部件的电压和/或频率。根据另一实施例,周期性地实施监控。
根据本发明的又一实施例,监控可以响应于一个或多个事件(例如,温度升高到某一水平等)而实施。
注意,所述监控可以以各种预定方式实施,甚至可以随机地,或者预定与随机的方式相组合。
装置232可以适于在决定增加供应给系统100的频率/电压时实施第一策略,在决定降低供应给系统100的电压/频率时实施与第一策略不同的第二策略。注意,装置232可以在决定增加或降低供应的电压/频率时实施各种策略,甚至可以是相同或基本相同的策略。
根据本发明的实施例,即使只有一个参考电路指示应该增加频率/电压(例如,为了防止性能退化),则增加所述电压/频率,而只有当所有参考电路都指示可以降低电压/频率时才能降低电压/频率。
便利地,例如,通过向增加或降低供应的频率/电压的请求分配不同的优先级,来实现实施不同的电压/频率增加和降低策略。
硬件模块200包括参考电路以及与决定相关的部件,并且连接到中断请求控制器140以便生成可以触发供应频率/电压的改变的中断。如上所提及的,某些与决定相关的任务可以由软件来实现。
硬件模块200的与决定相关的部件,和可选的处理器100,或者甚至中断请求控制器140可以被视为监控电路。
软件模块300,通常响应于中断请求,配置电压源210和时钟信号源220。电压源210和时钟信号源220的控制相对简单,不会对处理器110造成负担。而且,其简单性允许具有有限处理能力的部件,诸如DMA模块和简单控制器,执行电压和时钟信号源配置方案。
此外,各种现有处理器具有设置电压和时钟信号频率的能力,因此,利用该能力进一步增加装置232和系统100整体的效率。
图3图示说明了根据本发明实施例的硬件模块200的各种部件。
硬件模块200包括多个参考电路,每一参考电路通常包括特定类型的晶体管。为解释的方便,只图示了三个参考电路。假设每一参考电路包括相同特征(诸如阈值电压、扇出等)的晶体管,尽管每一参考电路典型地包括n型和p型两种晶体管。
硬件模块200测量每一晶体管类型的延迟,作为响应,确定模块(诸如I/O模块)的阻抗,或者确定是否改变供应信号的电压/频率。
发明人使用的参考电路包括环形振荡器和用于对每特定测量周期的振荡数量进行计数的计数器,但是也可以用其他方式来测量晶体管的速度,例如通过相位检测器。
硬件模块200还帮助确定至少一个I/O模块的配置。环形振荡器的振荡周期响应于形成环形及其阻抗的晶体管的电容。通过测量环形振荡器的振荡周期,硬件模块200可以估计I/O模块的阻抗,并且作为响应,确定激活I/O模块内哪些晶体管。较小的延迟通常与较小的阻抗相关联。
硬件模块200包括硬件控制器360、查找表寄存器350、三个参考电路310、320和330、三个计数器312、322和332、三个最小阈值比较器314、324和334以及三个范围比较器316、326和336。硬件控制器360控制硬件模块200的部件,并且可以,例如,发起测量会话,结束这样的会话等。
查找表寄存器350接收三个参考电路中每个的最小阈值,以及每个参考电路的高和低阈值。注意,低范围阈值可以等于低范围阈值,但这不是必需的。
进一步注意,一个或多个阈值可以响应于一个以上类型的晶体管的期望特性,并且可以反映模块的特性,而不只是一个晶体管的特性。
查找表寄存器350从查找表352接收阈值,查找表352是在测量会话开始前就被编程的。查找表可以反映各种温度、电压和时钟信号条件下的期望特性。
查找表352可以通过提供测量的温度值、电源电压值、时钟频率等而访问。
回头参看图1,假设第一参考电路310反映了高Vt晶体管的特性,则低阈值和最小阈值可以设置到在向高Vt晶体管供应电压VL1时期望的计数量。高阈值可以反映当向该晶体管提供VL5时期望的计数量。
如果任何计数器的计数达到该计数器的最小阈值,则通过中断请求向处理器110发送增加所提供的电压的高优先级请求。
第一参考电路310连接到第一计数器312。第一计数器312对特定周期期间第一参考电路310的振荡进行计数,并且将该计数发送到第一最小阈值比较器314和第一范围比较器315。它们比较该计数与它们从查找表寄存器350接收的阈值,并且确定是否请求提高供应的电压、降低它、或是根本不改变它。
这些比较器还可以提供对请求的变化的量的指示,通常是对一个或多个阈值与接收到的计数之差的响应。第二参考电路320、第二计数器322、第二最小阈值比较器324和第二范围比较器326彼此互相连接,并且像第一参考电路310、第一计数器312、第一最小阈值比较器314和第一范围比较器315一样彼此交互。第三参考电路330、第三计数器332、第三最小阈值比较器334和第三范围比较器336也同样如此。
注意,图3图示说明了查找表和查找表寄存器。根据本发明其他实施例,可以提供其他装置以提供反映参考电路的期望特性的参数。例如,期望的特性可以由数学函数来表示,查找表可以储存在一个存贮部件中。
图4是用于控制供应给包括多个类型的晶体管的系统的电压电平和时钟信号频率的方法400的流程图。其中,不同类型的晶体管的区别在于至少一个特征,诸如但不限于,阈值电压和阻抗。
方法400开始于步骤410,提供表示多个类型的晶体管的特性的一个或多个参考电路。因此,一个参考电路可以表示一个或多个不同类型的晶体管的特性。参考电路提供了有关系统(诸如集成电路)频率区内的各种估计电路的特性的指示。
步骤410之后是步骤420,向一个或多个参考电路供应至少一个输入信号,监控一个或多个参考电路的特性。注意,如果存在多个参考电路,可以只监控其中某些。便利地,至少一个输入信号是电源电压信号,其供应给估计电路。例如,如果使用相位检测器来评估与特定晶体管相关联的延迟,则所述相位检测器还接收时钟信号。注意,各种计数器、比较器和用于监控所述特性的逻辑可以接收时钟信号。该时钟信号的时钟频率可以与提供给估计电路的时钟信号不同,但这并不是必需的。提供较低频率时钟信号给监控电路可以减低监控部件的功耗。根据本发明的各种实施例,步骤420和顺序420~450可以以各种方式开始。它们可以一直执行、周期性执行、响应于一个或多个事件而执行、以预定方式执行、随机地执行、或者以预定和随机相组合的方式执行。
步骤420之后是步骤430,确定提供给一个或多个估计电路的一个或多个输出信号的特征。输出信号可以是时钟信号,特征可以是时钟频率。另一输出信号可以是电源电压信号,特征是电压电平。又一输出信号可以确定I/O模块的配置,从而基本上获取所想要的阻抗。
根据本发明实施例,所述确定包括比较监控的参数与一个或多个期望的参数,诸如一个或多个阈值。
便利地,确定的过程包括实施第一策略来增加电压电平和/或时钟信号频率以及施加第二策略来降低电压电平和/或时钟信号频率。
根据本发明实施例,即使只有一个参考电路指示会妨碍系统的性能,就会生成增加供应电压和/或时钟频率的请求。便利地,只有在所有参考电路都指示可以容忍降低供应电压和/或时钟频率时,才会开始该降低。
步骤430之后是步骤440,将所述至少一个输出信号提供给一个或多个估计电路。注意,这一个或多个输入信号可以提供给频率区内的非估计电路,不同部件可以接收不同的输出信号。例如,控制信号可以只提供给I/O模块。
根据本发明实施例,监控的过程包括测量参考电路的延迟,参考电路诸如包括由一个或多个类型的晶体管组成的环形振荡器。因此,具有基本相同阈值电压并且可以驱动基本相同电流量的PMOS晶体管和NMOS晶体管可以形成一个环形振荡器。
提供的步骤440可包括配置电源和时钟信号源。
本领域普通技术人员可以对这里描述的内容进行改变、修改和其他实现,而不背离本发明所要求的精神和范围。因此,本发明不受前面的说明性描述的限制,而是由权利要求的精神和范围所限定。
权利要求书
(按照条约第19条的修改)
1.一种用于控制供应给系统的电压电平和时钟信号频率的方法,所述方法包括提供(440)至少一个输出信号给一个或多个估计电路;
其特征在于:
提供(410)表示至少一个估计电路的特性的至少一个参考电路,其中所述至少一个估计电路包括多个类型的晶体管;其中不同类型晶体管的彼此不同之处在于下列至少一个特征:阈值电压或晶体管所驱动的电流量;
向至少一个参考电路供应(420)至少一个输入信号,并且监控一个或多个参考电路中所述至少一个参考电路的特性;以及
确定(430)提供给所述至少一个估计电路的至少一个输出信号的特征。
2.根据权利要求1的方法,其中所述监控包括评估特定类型的晶体管的延迟。
3.根据权利要求1-2中任意一项的方法,其中所述确定包括评估特定类型的晶体管的阻抗。
4.根据权利要求1-3中任意一项的方法,其中所述不同类型的晶体管的不同之处在于它们的阈值电压。
5.根据权利要求1-4中任意一项的方法,其中所述不同类型的晶体管的不同之处在于它们驱动的电流量。
6.根据权利要求1-5中任意一项的方法,其中所述确定包括施加第一策略来增加所述电压电平和时钟信号频率,以及施加第二策略来降低所述电压电平和时钟信号频率。
7.根据权利要求1-6中任意一项的方法,其中所述供应(420)是以预先确定的方式开始的。
8.根据权利要求1-7中任意一项的方法,其中所述监控是由硬件模块执行的。
9.根据权利要求1-8中任意一项的方法,其中所述提供(440)包括配置电源和时钟信号源。
10.一种用于控制供应给系统的电压电平和时钟信号频率的装置,所述装置包括监控电路;
其特征在于,包括表示至少一个估计电路(110、120、130)的特性的至少一个参考电路(310、320、330),其中所述至少一个估计电路包括多个类型的晶体管;其中不同类型晶体管的彼此不同之处在于下列至少一个特征:阈值电压或晶体管所驱动的电流量;并且,其中
所述监控电路(312、314、316、322、324、326、332、334、336、350、110)适于监控至少一个参考电路的特性以及确定提供给所述至少一个估计电路的至少一个输出信号的特征。
11.根据权利要求10的装置,其中所述监控电路适于评估特定类型的晶体管的延迟。
12.根据权利要求10-12中任意一项的装置,其中所述监控电路适于评估特定类型的晶体管的阻抗。
13.根据权利要求10-13中任意一项的装置,其中所述不同类型的晶体管的不同之处在于它们的阈值电压。
14.根据权利要求10-14中任意一项的装置,其中所述不同类型的晶体管的不同之处在于它们驱动的电流量。
15.根据权利要求10-15中任意一项的装置,其中所述监控电路适于施加第一策略来增加所述电压电平和时钟信号频率,以及施加第二策略来降低所述电压电平和时钟信号频率。
16.根据权利要求10-16中任意一项的装置,其中所述监控电路适于以预先确定的方式监控至少一个参考电路。
17.根据权利要求10-17中任意一项的装置,进一步适于控制电源(210)和时钟信号源(220)。

Claims (17)

1.一种用于控制供应给系统的电压电平和时钟信号频率的方法,所述方法包括提供(440)至少一个输出信号给一个或多个估计电路;
其特征在于:
提供(410)表示至少一个估计电路的特性的至少一个参考电路,其中所述至少一个估计电路包括多个类型的晶体管;
向至少一个参考电路供应(420)至少一个输入信号,并且监控一个或多个参考电路中所述至少一个参考电路的特性;以及
确定(430)提供给所述至少一个估计电路的至少一个输出信号的特征。
2.根据权利要求1的方法,其中所述监控包括评估特定类型的晶体管的延迟。
3.根据权利要求1-2中任意一项的方法,其中所述确定包括评估特定类型的晶体管的阻抗。
4.根据权利要求1-3中任意一项的方法,其中所述不同类型的晶体管的不同之处在于它们的阈值电压。
5.根据权利要求1-4中任意一项的方法,其中所述不同类型的晶体管的不同之处在于它们驱动的电流量。
6.根据权利要求1-5中任意一项的方法,其中所述确定包括施加第一策略来增加所述电压电平和时钟信号频率,以及施加第二策略来降低所述电压电平和时钟信号频率。
7.根据权利要求1-6中任意一项的方法,其中所述供应(420)是以预先确定的方式开始的。
8.根据权利要求1-7中任意一项的方法,其中所述监控是由硬件模块执行的。
9.根据权利要求1-8中任意一项的方法,其中所述提供(440)包括配置电源和时钟信号源。
10.一种用于控制供应给系统的电压电平和时钟信号频率的装置,所述装置包括监控电路;
其特征在于,包括表示至少一个估计电路(110、120、130)的特性的至少一个参考电路(310、320、330),其中所述至少一个估计电路包括多个类型的晶体管;并且,其中
所述监控电路(312、314、316、322、324、326、332、334、336、350、110)适于监控至少一个参考电路的特性以及确定提供给所述至少一个估计电路的至少一个输出信号的特征。
11.根据权利要求10的装置,其中所述监控电路适于评估特定类型的晶体管的延迟。
12.根据权利要求10-12中任意一项的装置,其中所述监控电路适于评估特定类型的晶体管的阻抗。
13.根据权利要求10-13中任意一项的装置,其中所述不同类型的晶体管的不同之处在于它们的阈值电压。
14.根据权利要求10-14中任意一项的装置,其中所述不同类型的晶体管的不同之处在于它们驱动的电流量。
15.根据权利要求10-15中任意一项的装置,其中所述监控电路适于施加第一策略来增加所述电压电平和时钟信号频率,以及施加第二策略来降低所述电压电平和时钟信号频率。
16.根据权利要求10-16中任意一项的装置,其中所述监控电路适于以预先确定的方式监控至少一个参考电路。
17.根据权利要求10-17中任意一项的装置,进一步适于控制电源(210)和时钟信号源(220)。
CN2004800445071A 2004-11-10 2004-11-10 使用多个参考电路来控制电压和频率的装置和方法 Expired - Fee Related CN101069147B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2004/012845 WO2006050747A1 (en) 2004-11-10 2004-11-10 Apparatus and method for controlling voltage and frequency using multiple reference circuits

Publications (2)

Publication Number Publication Date
CN101069147A true CN101069147A (zh) 2007-11-07
CN101069147B CN101069147B (zh) 2011-07-06

Family

ID=34959406

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800445071A Expired - Fee Related CN101069147B (zh) 2004-11-10 2004-11-10 使用多个参考电路来控制电压和频率的装置和方法

Country Status (5)

Country Link
US (1) US8402288B2 (zh)
EP (1) EP1820083A1 (zh)
JP (1) JP2008520014A (zh)
CN (1) CN101069147B (zh)
WO (1) WO2006050747A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102365606A (zh) * 2009-03-30 2012-02-29 高通股份有限公司 自适应电压定标
CN110174882A (zh) * 2018-02-21 2019-08-27 凌力尔特科技控股有限责任公司 故障监控的平均参考

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5046322B2 (ja) * 2006-11-09 2012-10-10 ルネサスエレクトロニクス株式会社 半導体集積回路装置
WO2010028028A1 (en) 2008-09-08 2010-03-11 Virginia Tech Intellectual Properties Systems, devices, and methods for managing energy usage
US7971105B2 (en) * 2009-01-16 2011-06-28 Freescale Semiconductor, Inc. Device and method for detecting and correcting timing errors
US20100325451A1 (en) * 2009-06-22 2010-12-23 Chung-Hsing Chang Power-saving trigger-type control device for dynamically and instantly varying frequency and method thereof
US9798469B2 (en) * 2014-07-31 2017-10-24 Samsung Electronics Co., Ltd. Storage device and controlling method thereof

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5218704A (en) * 1989-10-30 1993-06-08 Texas Instruments Real-time power conservation for portable computers
JPH03296276A (ja) * 1990-04-13 1991-12-26 Nippon Motoroola Kk 不揮発性機能付並列型複合トランジスタ
FI101833B (fi) * 1994-07-13 1998-08-31 Nokia Telecommunications Oy Menetelmä ja järjestelmä kaapelointiviiveen automaattiseksi kompensoim iseksi kellosignaalin jakelujärjestelmässä
FR2752312B1 (fr) * 1996-08-07 1998-10-30 Motorola Semiconducteurs Procede et circuit permettant d'ajuster dynamiquement la tension d'alimentation et, ou bien, la frequence du signal d'horloge dans un circuit numerique
US5790479A (en) * 1996-09-17 1998-08-04 Xilinx, Inc. Method for characterizing interconnect timing characteristics using reference ring oscillator circuit
US5919264A (en) * 1997-03-03 1999-07-06 Microsoft Corporation System and method for using data structures to share a plurality of power resources among a plurality of devices
JP3033719B2 (ja) 1997-09-10 2000-04-17 日本電気株式会社 低消費電力半導体集積回路
US6448840B2 (en) * 1999-11-30 2002-09-10 Intel Corporation Adaptive body biasing circuit and method
CA2647018A1 (en) 1999-12-28 2001-07-05 Sony Corporation Signal processing device and method, and recording medium
JP2002099432A (ja) * 2000-09-22 2002-04-05 Sony Corp 演算処理システム及び演算処理制御方法、タスク管理システム及びタスク管理方法、並びに記憶媒体
JP3697393B2 (ja) * 2000-12-21 2005-09-21 株式会社東芝 プロセッサ
JP3641448B2 (ja) * 2001-09-06 2005-04-20 松下電器産業株式会社 演算処理装置
JP2003086693A (ja) 2001-09-12 2003-03-20 Nec Corp 半導体集積回路
US7062692B1 (en) * 2002-09-26 2006-06-13 Xilinx, Inc. Duty cycle characterization and adjustment
US7000204B2 (en) * 2003-09-02 2006-02-14 Hewlett-Packard Development Company, L.P. Power estimation based on power characterizations
US7098635B2 (en) * 2003-12-31 2006-08-29 Intel Corporation Regulating voltage applied to an integrated circuit and proxy frequency
US7208934B2 (en) * 2004-01-21 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for identification of locations of a circuit within an integrated circuit having low speed performance
US7129745B2 (en) * 2004-05-19 2006-10-31 Altera Corporation Apparatus and methods for adjusting performance of integrated circuits
JP4198644B2 (ja) * 2004-06-21 2008-12-17 富士通マイクロエレクトロニクス株式会社 半導体集積回路

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102365606A (zh) * 2009-03-30 2012-02-29 高通股份有限公司 自适应电压定标
US8797095B2 (en) 2009-03-30 2014-08-05 Qualcomm Incorporated Adaptive voltage scalers (AVS), systems, and related methods
CN102365606B (zh) * 2009-03-30 2015-02-18 高通股份有限公司 自适应电压定标
US9092046B2 (en) 2009-03-30 2015-07-28 Qualcomm Incorporated Adaptive voltage scalers (AVSs), systems, and related methods
US9122291B2 (en) 2009-03-30 2015-09-01 Qualcomm Incorporated Adaptive voltage scalers (AVSs), systems, and related methods
CN110174882A (zh) * 2018-02-21 2019-08-27 凌力尔特科技控股有限责任公司 故障监控的平均参考
CN110174882B (zh) * 2018-02-21 2022-03-01 亚德诺半导体国际无限责任公司 故障监控的平均参考

Also Published As

Publication number Publication date
CN101069147B (zh) 2011-07-06
EP1820083A1 (en) 2007-08-22
US20080186083A1 (en) 2008-08-07
WO2006050747A1 (en) 2006-05-18
US8402288B2 (en) 2013-03-19
JP2008520014A (ja) 2008-06-12

Similar Documents

Publication Publication Date Title
JP6956743B2 (ja) 電力多重化システムにおける供給電力レールから受電回路への多重化電力のドライブ強度の適応制御
JP5707321B2 (ja) スリーププロセッサ
US7302595B2 (en) Method and apparatus for dynamic power management in a processor system
KR101193331B1 (ko) 그래픽장치의 전력소모를 관리하는 시스템 및 방법
US10108241B2 (en) Method and apparatus for saving power of a processor socket in a multi-socket computer system
US20040000895A1 (en) Method and apparatus for operating a voltage regulator based on inductor current detection
US5850157A (en) Low voltage swing circuits for low power clock distribution and methods of using the same
US10133336B2 (en) Dynamically entering low power states during active workloads
CN1773425A (zh) 用于降低噪声的计算机及其控制方法和介质
US20110066868A1 (en) Variably Delayed Wakeup Transition
CN1643480A (zh) 一种用于大规模数字集成电路中的自适应电压定标时钟发生器及其工作方法
CN1766796A (zh) 控制电源管理的装置和方法
CN1532671A (zh) 处理器及其驱动方法与电子信息处理产品
CN1914577A (zh) 在数字处理系统中用于超频的方法和装置
US10186891B2 (en) Method to reuse the pulse discharge energy during Li-ion fast charging for better power flow efficiency
US20160109921A1 (en) Hardware apparatus and method for multiple processors dynamic asymmetric and symmetric mode switching
CN101069147A (zh) 使用多个参考电路来控制电压和频率的装置和方法
EP1749256A1 (en) Automatic clock speed control
US7472289B2 (en) Audio noise mitigation for power state transitions
US6477655B1 (en) System and method to set PME—status bit and wake up the system, and selectively load device driver by searching who set the bit without requiring clock
WO2016058498A1 (en) Novel low cost, low power high performance smp/asmp multiple-processor system
US8996897B2 (en) Automatic backlight intensity adjustment in an embedded operating system environment
US6784647B2 (en) Method and apparatus for operating a voltage regulator based on operation of a timer
US20050160300A1 (en) Information handling system including a battery switching circuit
JP2001005572A (ja) 情報処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP01 Change in the name or title of a patent holder

Address after: Texas in the United States

Patentee after: NXP America Co Ltd

Address before: Texas in the United States

Patentee before: Fisical Semiconductor Inc.

CP01 Change in the name or title of a patent holder
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110706

Termination date: 20201110

CF01 Termination of patent right due to non-payment of annual fee