CN101005023A - 低介电常数介电层的形成方法 - Google Patents

低介电常数介电层的形成方法 Download PDF

Info

Publication number
CN101005023A
CN101005023A CNA200710003848XA CN200710003848A CN101005023A CN 101005023 A CN101005023 A CN 101005023A CN A200710003848X A CNA200710003848X A CN A200710003848XA CN 200710003848 A CN200710003848 A CN 200710003848A CN 101005023 A CN101005023 A CN 101005023A
Authority
CN
China
Prior art keywords
dielectric constant
dielectric layer
low dielectric
wavelength
low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200710003848XA
Other languages
English (en)
Inventor
陈奕伊
包天一
郑双铭
余振华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101005023A publication Critical patent/CN101005023A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种低介电常数介电层的形成方法,包括:于一衬底上形成一材料层,材料层包括一生孔剂散布于一未固化的基材中;以具有一第一波长的放射线照射材料层使未固化的基材内形成细孔;以及以一具有第二波长的放射线照射材料层。

Description

低介电常数介电层的形成方法
技术领域
本发明涉及一种半导体元件的制造方法,且特别涉及一种多孔性(porous)的低介电常数(low-k)介电层的制造方法。
背景技术
随着半导体元件的密度增加,电阻/电容时间延迟(RC time delay)现象对集成电路的影响更大。常见技术使用低介电常数的介电材料以减少电阻/电容延迟时间。低介电常数介电材料经常用于金属层间介电层(IMD)及层间介电层(ILD)。然而,这些低介电常数介电材料却带来制程上的问题,特别是在金属内连线(interconnects)工艺中。
在制作金属内连线时,金属的图案化及蚀刻过程通常使用高能量等离子体。并且对低介电常数介电材料实施光刻蚀刻工艺中,先以光致抗蚀剂作为掩模对介电材料进行蚀刻,再以高能量等离子体移除光致抗蚀剂层。低介电常数介电材料由于较软以及化学稳定性不良等因素,很容易在等离子体处理中受到损害。等离子体对其造成的损害往往导致漏电流增加、击穿电压降低以及介电材料的介电常数提高。
常见技术常使用的一种低介电常数介电材料是多孔性介电材料(porousdielectric)。多孔性介电材料是由可热固化(thermally cured)的基材(matrix)材料以及可热裂解(thermally degradable)的生孔剂(porogen)所组成。此多孔性介电材料的制作步骤通常先以旋涂式(spin on)沉积法形成低介电常数前体(precursor)材料,再经过热固化步骤以形成多孔性介电材料。在固化工艺中介电材料的基材(matrix)发生交联反应(cross link),并且生孔剂因裂解而形成细孔(pore)。生孔剂于固化时产生的挥发性副产品自介电材料向外扩散而留下纳米细孔(nanopore)。
Waldfried et.al.于美国专利第6,756,085号揭示一种紫外光(UV)固化法,其可降低固化时间并增加介电材料的弹性系数及硬度。Waldfried et.al.于美国早期公开第2004/0058090号揭示一种固化多孔性介电材料的方法。
然而,使用常见技术制造具有多孔结构的低介电常数介电材料仍存在诸多问题。一些反应性的气体或化学物质经由介电层中的细孔渗入(penetrate)介电层内而导致整体材料的损害。特别是在光致抗蚀剂移除及金属沉积的过程容易对介电层造成损害。并且,纳米细孔的形成使介电层的机械性质降低而导致铜极低k电介质(copper-ELK,extreme low-k dielectric)的化学机械研磨(CMP)效果不良。
基于上述的原因,目前需要一种能克服常见缺点的多孔性低介电常数介电层的制造方法。
发明内容
有鉴于此,本发明提供一种低介电常数介电层的形成方法,包括:于一衬底上形成一材料层,材料层包括一生孔剂散布于一未固化的基材中;以具有一第一波长的放射线照射材料层使未固化的基材内形成细孔;以及以一具有第二波长的放射线照射材料层。
根据所述的低介电常数介电层的形成方法,其中所述第二波长小于所述第一波长。
根据所述的低介电常数介电层的形成方法,其中所述第一波长约280nm,所述第二波长约小于250nm。
根据所述的低介电常数介电层的形成方法,其中所述材料层的形成方法包括等离子体增强化学气相沉积法。
根据所述的低介电常数介电层的形成方法,其中至少有一步骤还包括在一含气体的环境中实施以在所述材料层内形成一Si-C键。
根据所述的低介电常数介电层的形成方法,其中所述含气体的环境包括以一般式CXHY表示的气体。
根据所述的低介电常数介电层的形成方法,其中所述含气体的环境包括乙烯、丙烯、六甲基二硅氮烷、超临界二氧化碳或其组合。
根据所述的低介电常数介电层的形成方法,其中形成所述Si-C键包括使Si-OH键转变为Si-C键结。
根据所述的低介电常数介电层的形成方法,其中所述未固化的矩阵包括甲基硅酸盐类、旋涂式玻璃、含氢硅酸盐类或其组合。
根据所述的低介电常数介电层的形成方法,其中所述生孔剂包括丙烯酸、聚降冰片烯及其组合。
根据所述的低介电常数介电层的形成方法,其中还包括实施一电子束处理,电子束能量约20~150mC/cm2
本发明另外提供一种修补含碳的低介电常数介电层的损害的方法,包括:于一衬底形成一材料,其中材料层包括一生孔剂散布于一基材材料中;以具有一第一波长的放射线照射材料层使基材材料内形成细孔;以具有一第二波长的放射线照射材料层,其中该第二波长小于第一波长;以及于一含碳的环境中对材料实施退火。
本发明还提供一种于低介电常数介电层中形成镶嵌内连线的方法,包括:形成一低介电常数介电层,其中该低介电常数介电层包括一生孔剂;于低介电常数介电层中制作一镶嵌内连线结构;在镶嵌内连线结构形成后,以具有一第一波长的紫外光照射低介电常数介电层并于其中形成多个细孔;在这些细孔形成后,以具有一第二波长的紫外光照射低介电常数介电层并使其发生交联反应,其中第二波长小于该第一波长。
根据所述的于低介电常数介电层中形成镶嵌内连线的方法,其中所述第一波长约280nm,所述第二波长约小于250nm。
根据所述的于低介电常数介电层中形成镶嵌内连线的方法,其中还包括于一含碳的环境中对所述低介电常数介电层实施一退火工艺,所述含碳的环境包括乙烯、丙烯、六甲基二硅氮烷、超临界二氧化碳或其组合。
附图说明
图1绘示根据本发明实施例的于衬底上形成低介电常数材料的方法;
图2a绘示根据本发明实施例的对低介电常数介电材料实施第一紫外光处理的方法;
图2b绘示根据本发明实施例的对低介电常数介电材料实施第二紫外光处理的方法;
图3绘示根据本发明实施例的制程流程图;
图4a-4c绘示根据本发明实施例的制作低介电常数层间介电层(low-kILD)及铜金属线的镶嵌(damascene)制程的剖面图。
其中,附图标记说明如下:
110衬底
120低介电常数介电材料层
120a低介电常数介电材料层
210紫外光源
220第一紫外光
220a第二紫外光
240细孔
310第一步骤
320第二步骤
330第三步骤
405衬底
410导电层
415阻挡层
420介电层
425开口
430通路部分
435沟槽部
445a、b第一、第二紫外光
具体实施方式
本实施例的操作方法及制造方法将在以下作详尽的说明。然而,以下实施例并非用本发明唯一的运用,本实施例仅是说明实施本发明的特定方法,其非用以限定本发明及专利范围。
本发明关于一种半导体元件的制造方法,且特别关于一种多孔性(porous)的低介电常数(low-k)介电层的制造方法。请参阅图1,其绘示于衬底上形成低介电常数材料的方法。首先提供适用于半导体元件制造的衬底110。其材料可选自块状(bulk)硅、掺杂或未掺杂的半导体、硅、锗、硅锗、硅覆盖绝缘层(SOI)、硅锗覆盖绝缘层(SGOI)或其组合。衬底110还可包括于半导体上形成的绝缘层,例如埋入氧化层(BOX)或氧化硅。另外,衬底110也可包含多层(multi-layered)衬底、梯度(gradient)衬底、混合晶向(hybrid orientation)衬底。在较佳实施例中,衬底110包含晶相<100>的单晶硅。
接着在一较佳实施例中,可于衬底110上形成低介电常数(low-k)材料120,其可通过化学气相沉积(CVD)或旋涂式沉积法(spin-on process)形成。在本例中低介电常数材料120可选择具有介电常数小于3左右的介电材料,其较佳值则大约为介于1.9至2.5。
一般而言,低介电常数材料120所包含商用的低介电常数材料,可选择如多孔性的SILK(Dow Chemical公司制造)、JSR 5109(JSR公司制造)或ZIRKONTM LK ILD(Shipley公司制造的低介电常数材料)。其中,ZIRKONTMLK ILD是一种甲基硅酸盐类(methylsilsequioxane,MSQ)为主的材料并以溶剂(PGMEA)混合含丙烯酸(acrylic)的纳米颗粒(nano-particle)生孔剂(porogen)。生孔剂移除后的介电材料,其介电常数约为2.5。另一实施例中,可利用聚降冰片烯(polynorbornene)作为生孔剂。
ZIRKONTMLK ILD的沉积方法可通过旋涂式沉积法。其生孔剂的裂解(degradation)温度约在275℃,而固化(curing)或是完整裂解的温度约在450℃。因此,在包含光刻、蚀刻、光致抗蚀剂移除、金属化(metallization)、化学机械研磨(CMP)及化学机械研磨后(post-CMP)盖层(cap layer)沉积的制程中,温度维持低于约275℃。
多孔性介电材料可选自有机、无机或其组合的介电质。较佳的选择是包括含氢硅酸盐类(hydrogen silsequioxane,HSQ)、甲基硅酸盐类(methylsilsequioxane,MSQ)及其组合。而较佳的沉积方法则可包括等离子体增强化学气相沉积(PECVD),条件为温度约225℃,压力约225托(torr),能量约600瓦(W)。
由于多孔性介电材料容易在制程中遭受损害,化学物质因此进入细孔(pore)中而造成介电常数提高。良好的低介电常数材料是具有高硬度(hardness)及韧度(toughness)的介电质。对多孔性介电材料实施等离子体处理或电子束(e-beam)处理可改善其硬度(hardness)及机械性质,或者较佳为实施紫外光(UV)处理。
请参照图2a,其绘示对低介电常数介电材料实施第一紫外光处理的方法。在一较佳实施例中,第一紫外光处理是以紫外光源210所提供的紫外光220照射低介电常数材料120。该紫外光源210包含宽束(broad beam,BB)紫外光源。第一紫外光处理另可包含分光仪(monochronomator)、绕射光栅或过滤特定波长的紫外光220的滤光器。
低介电常数材料120内的生孔剂在第一紫外光处理的过程中裂解而形成细孔240。第一紫外光处理使用的紫外光波长约为280nm。细孔形成的温度约为350℃,而大部分的生孔剂裂解及细孔形成的时间约为90秒。细孔产生后介电常数可小于2.5,但此时介电材料的硬度通常低于1.0GPa而不能达到要求。
请参照图2b,其绘示在另一较佳实施例中对低介电常数介电材料实施第二紫外光处理的方法,在本实施例中则可选择实施至少一次额外的紫外光处理(第二紫外光处理),例如,低介电常数材料120可通过第二紫外光220a产生交联反应(cross-link)而形成低介电常数材料120a。而在低介电常数材料120受第二紫外光220a照射时,其内部的硅-氧-硅(Si-O-Si)键结由鸟笼状(cage-like)结构转变为网络(network)结构。一般而言,第二紫外光220a波长略小于第一紫外光220波长为佳,且以小于250nm较佳。交联反应则以发生于温度约350℃实施约60秒为佳。而交联作用可使介电质硬度增加,其硬度可达到约1.5GPa。
请参阅图3,其绘示根据本发明实施例的制程流程图。第一步骤310包含于衬底上形成具有生孔剂的介电层。第二步骤320是细孔形成步骤,其包含对介电层实施第一紫外光照射使生孔剂发生反应。第三步骤330是介电层发生交联反应步骤,其包含对介电层实施第二紫外光照射。第三步骤330实施于第二步骤320之后较佳。在第三步骤中,交联反应的发生除了可增加介电层机械性质的稳定性,并且还可修补Si-H键、Si-OH(silanol)键及硅悬浮键(dangling silicon bond)以提升机械性质。因此,第三步骤330是介电层稳定化步骤,反应性的Si-H键及Si-OH键在此步骤转变为稳定的Si-C键。
上述310、320及330步骤可发生在含气体的环境中。气体可选自惰性气体,例如氩(Ar)、氦(He)。或者,其它气体包含氢(H2)、氮(N2)、氨(NH3)、二氧化碳(CO2)、氢化物(Hydride)及其组合。在较佳实施例中至少一紫外线处理步骤(例如步骤320及330)另外包括含碳修补(carbon repairing)气体。
含碳修补(carbon repairing)气体可增加低介电常数材料120的含碳量。通过提高介电层的含碳量,介电层对于等离子体蚀刻或灰化造成的损害较具有抵抗能力。因此,含碳修补气体的处理是介电层稳定化处理。含碳的修补气体包含以一般式CXHY表示的气体,例如乙烯(C2H4)、丙烯(C3H6)。或者,其它较佳的碳修补气体,例如六甲基二硅氮烷(hexamethyldisilazane,HMDS)或超临界二氧化碳(supercritical CO2)。经过含碳修补气体处理后,介电层的含碳量可由原本的14%增加至23%。
在上述310、320及330步骤中,至少一或多个紫外光照射步骤可以包含电子束处理(e-beam)或热辅助以控制温度及对介电层进行完全固化。热辅助包含提升一或多个制程的温度,例如为退火,较佳者至少到达约225℃以上。电子束处理的电子束能量较佳约为20~150mC/cm2
图4a-4c绘示根据本发明实施例所制作的元件的剖面图。以下的实施例将详述于一镶嵌(damascene)工艺中低介电常数层间介电层(low-k ILD)及铜金属线的制作方法。本发明的较佳实施例可改善因介电层受损所导致的内连线镶嵌工艺的问题,并且亦有助于解决IC工艺中关于使用生孔剂而产生的问题。本实施例仅是说明实施本发明的特定方法,然其非用以限定本发明。
请参照图4a,其绘示于半导体工艺中间阶段的半导结构剖面图。此结构具有衬底405、于衬底405上的导电层410、于导电层410上的阻挡层415以及于阻挡层415上的含有生孔剂的介电层420。
衬底405可包含硅晶片,导电层410可包含铜。导电层410也包含铜内连线或其它镶嵌(damascene)结构。含有生孔剂的介电层420是层间介电质材料(ILD),其包括含氢硅酸盐类(hydrogen silsequioxane,HSQ)或甲基硅酸盐类(methylsilsequioxane,MSQ)的介电材料。阻挡层415厚度约为10~30较佳,其使用材料可选自TaN、TiN、WN、TbN、VN、ZrN、CrN、WC、WN、WCN、NbN、AlN及其组合。阻挡层415的形成方法可通过原子层沉积(ALD)、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)或等离子体增强原子层沉积(PEALD)。
请参照图4b,于图4a的半导体结构内以非等向性蚀刻形成双镶嵌结构的开口425。开口425具有通路部分430(vias portion)及上方的沟槽部(overlying trench portion)435。可包含一或多个通路部分430的双镶嵌结构可以以各种方法制作,例如先以至少两道光刻及非等向性蚀刻工艺形成通路部分430,接着再以类似的制程形成沟槽部435。
请接续图4b,全面性的沉积铜440并实施平坦化工艺,使双镶嵌结构的通路部分430及沟槽部435被填入铜440。铜440的沉积方法可选用物理气相沉积或化学气相沉积法,或者较佳为使用具有良好填沟能力(gap filing)及阶梯覆盖性(step coverage)的电镀法(electroplating)。
请参照图4c,通过实施第3图及前述的步骤310、320及330固化介电层420。在本例中,第一及第二紫外光445a及445b是依序照射含生孔剂的介电层420以完成固化工艺。根据本发明实施例,第一紫外光波长约为280nm,第二紫外光波长约小于250nm。并且,至少一紫外光照射步骤包含以含碳修补气体(例如HMDS)修补介电层420。
虽然本发明已以较佳实施例公开如上,然其并非用以限制本发明,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可作更动与润饰,因此本发明的保护范围当以后附的权利要求书所界定的范围为准。

Claims (14)

1.一种低介电常数介电层的形成方法,包括:
于一衬底上形成一材料层,所述材料层包括一生孔剂散布于一未固化的矩阵中;
以具有一第一波长的放射线照射所述材料层使所述未固化的矩阵内形成细孔;以及
以一具有第二波长的放射线照射所述材料层。
2.如权利要求1所述的低介电常数介电层的形成方法,其特征在于,所述第二波长小于所述第一波长。
3.如权利要求2所述的低介电常数介电层的形成方法,其特征在于,所述第一波长约280nm,所述第二波长约小于250nm。
4.如权利要求1所述的低介电常数介电层的形成方法,其特征在于,所述材料层的形成方法包括等离子体增强化学气相沉积法。
5.如权利要求1所述的低介电常数介电层的形成方法,其特征在于,至少有一步骤还包括在一含气体的环境中实施以在所述材料层内形成一Si-C键。
6.如权利要求5所述的低介电常数介电层的形成方法,其特征在于,所述含气体的环境包括以一般式CXHY表示的气体。
7.如权利要求5所述的低介电常数介电层的形成方法,其特征在于,所述含气体的环境包括乙烯、丙烯、六甲基二硅氮烷、超临界二氧化碳或其组合。
8.如权利要求5所述的低介电常数介电层的形成方法,其特征在于,形成所述Si-C键包括使Si-OH键转变为Si-C键结。
9.如权利要求1所述的低介电常数介电层的形成方法,其特征在于,所述未固化的矩阵包括甲基硅酸盐类、旋涂式玻璃、含氢硅酸盐类或其组合。
10.如权利要求1所述的低介电常数介电层的形成方法,其特征在于,所述生孔剂包括丙烯酸、聚降冰片烯及其组合。
11.如权利要求1所述的低介电常数介电层的形成方法,其特征在于,还包括实施一电子束处理,电子束能量约20~150mC/cm2
12.一种于低介电常数介电层中形成镶嵌内连线的方法,包括:
形成一低介电常数介电层,其中所述低介电常数介电层包括一生孔剂;
于所述低介电常数介电层中制作一镶嵌内连线结构;
在所述镶嵌内连线结构形成后,以具有一第一波长的紫外光照射所述低介电常数介电层并于其中形成多个细孔;
在所述细孔形成后,以具有一第二波长的紫外光照射所述低介电常数介电层并使其发生交联反应,其中所述第二波长小于所述第一波长。
13.如权利要求12所述的于低介电常数介电层中形成镶嵌内连线的方法,其特征在于,所述第一波长约280nm,所述第二波长约小于250nm。
14.如权利要求12所述的于低介电常数介电层中形成镶嵌内连线的方法,其特征在于,还包括于一含碳的环境中对所述低介电常数介电层实施一退火工艺,所述含碳的环境包括乙烯、丙烯、六甲基二硅氮烷、超临界二氧化碳或其组合。
CNA200710003848XA 2006-01-10 2007-01-10 低介电常数介电层的形成方法 Pending CN101005023A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/328,596 2006-01-10
US11/328,596 US7482265B2 (en) 2006-01-10 2006-01-10 UV curing of low-k porous dielectrics

Publications (1)

Publication Number Publication Date
CN101005023A true CN101005023A (zh) 2007-07-25

Family

ID=38233264

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA200710003848XA Pending CN101005023A (zh) 2006-01-10 2007-01-10 低介电常数介电层的形成方法

Country Status (3)

Country Link
US (1) US7482265B2 (zh)
CN (1) CN101005023A (zh)
TW (1) TWI324805B (zh)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102074500A (zh) * 2009-11-12 2011-05-25 诺发系统有限公司 半导体处理中用于k恢复及表面清洁的紫外线及还原处理
CN102881584A (zh) * 2011-07-12 2013-01-16 中芯国际集成电路制造(上海)有限公司 超低介电常数层的制作方法
CN102903620A (zh) * 2011-07-26 2013-01-30 中芯国际集成电路制造(上海)有限公司 介质层的形成方法
CN103184438A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 薄膜的热处理方法及热处理装置、化学气相沉积装置
US8629068B1 (en) 2005-04-26 2014-01-14 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8715788B1 (en) 2004-04-16 2014-05-06 Novellus Systems, Inc. Method to improve mechanical strength of low-K dielectric film using modulated UV exposure
CN103928370A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 用于多孔低k介质的紫外线照射装置和照射方法
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
CN105336668A (zh) * 2014-06-27 2016-02-17 中芯国际集成电路制造(上海)有限公司 介质层的形成方法
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US7947579B2 (en) * 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080220619A1 (en) * 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
WO2009102363A2 (en) * 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
JP4708465B2 (ja) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
JP2010278121A (ja) * 2009-05-27 2010-12-09 Renesas Electronics Corp 半導体装置およびその製造方法
US8035201B2 (en) * 2009-05-27 2011-10-11 Globalfoundries Singapore Pte. Ltd. Reliable interconnection
US20110151590A1 (en) * 2009-08-05 2011-06-23 Applied Materials, Inc. Apparatus and method for low-k dielectric repair
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
KR20120033643A (ko) * 2010-09-30 2012-04-09 삼성전자주식회사 다공성 저유전막 제조방법 및 이를 이용한 반도체 소자의 제조방법
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US9112090B2 (en) 2012-01-31 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. UV radiation recovery of image sensor
US9287154B2 (en) 2012-06-01 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing system for semiconductors
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
CN105448646B (zh) * 2014-07-08 2018-12-11 中芯国际集成电路制造(上海)有限公司 改善多孔低k薄膜的机械强度的方法
KR20160067349A (ko) * 2014-12-04 2016-06-14 삼성전자주식회사 도전 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US9947576B2 (en) 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9768061B1 (en) 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
WO2020040178A1 (ja) * 2018-08-23 2020-02-27 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US20230102166A1 (en) * 2021-08-30 2023-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
US6383913B1 (en) * 2001-04-06 2002-05-07 United Microelectronics Corp. Method for improving surface wettability of low k material
US6740685B2 (en) * 2001-05-30 2004-05-25 Honeywell International Inc. Organic compositions
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US20030218253A1 (en) * 2001-12-13 2003-11-27 Avanzino Steven C. Process for formation of a wiring network using a porous interlevel dielectric and related structures
US7132348B2 (en) * 2002-03-25 2006-11-07 Micron Technology, Inc. Low k interconnect dielectric using surface transformation
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
JP4574145B2 (ja) * 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. エアギャップ形成
US6806185B2 (en) 2002-09-19 2004-10-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing a carbon doped silicon oxide capping layer
JP2004274020A (ja) 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US20040130027A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Improved formation of porous interconnection layers
US6875709B2 (en) * 2003-03-07 2005-04-05 Taiwan Semiconductor Manufacturing Comapny, Ltd. Application of a supercritical CO2 system for curing low k dielectric materials
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8715788B1 (en) 2004-04-16 2014-05-06 Novellus Systems, Inc. Method to improve mechanical strength of low-K dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US9873946B2 (en) 2005-04-26 2018-01-23 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8629068B1 (en) 2005-04-26 2014-01-14 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
CN102074500B (zh) * 2009-11-12 2016-12-28 诺发系统有限公司 半导体处理中用于k恢复及表面清洁的紫外线及还原处理
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
CN102074500A (zh) * 2009-11-12 2011-05-25 诺发系统有限公司 半导体处理中用于k恢复及表面清洁的紫外线及还原处理
CN102881584B (zh) * 2011-07-12 2016-01-20 中芯国际集成电路制造(上海)有限公司 超低介电常数层的制作方法
CN102881584A (zh) * 2011-07-12 2013-01-16 中芯国际集成电路制造(上海)有限公司 超低介电常数层的制作方法
CN102903620B (zh) * 2011-07-26 2015-11-25 中芯国际集成电路制造(上海)有限公司 介质层的形成方法
CN102903620A (zh) * 2011-07-26 2013-01-30 中芯国际集成电路制造(上海)有限公司 介质层的形成方法
CN103184438A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 薄膜的热处理方法及热处理装置、化学气相沉积装置
CN103184438B (zh) * 2011-12-30 2016-02-17 中芯国际集成电路制造(上海)有限公司 薄膜的热处理方法及热处理装置、化学气相沉积装置
CN103928370A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 用于多孔低k介质的紫外线照射装置和照射方法
CN105336668A (zh) * 2014-06-27 2016-02-17 中芯国际集成电路制造(上海)有限公司 介质层的形成方法
CN105336668B (zh) * 2014-06-27 2020-09-08 中芯国际集成电路制造(上海)有限公司 介质层的形成方法
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer

Also Published As

Publication number Publication date
US7482265B2 (en) 2009-01-27
TW200731408A (en) 2007-08-16
US20070161230A1 (en) 2007-07-12
TWI324805B (en) 2010-05-11

Similar Documents

Publication Publication Date Title
CN101005023A (zh) 低介电常数介电层的形成方法
TWI414623B (zh) 利用原位埋覆奈米層以改善機械特性之低k值介電質化學氣相沉積薄膜形成製程
US7253105B2 (en) Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
JP4328725B2 (ja) 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法
CN100388477C (zh) 互连结构及其形成方法
US7371461B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US6939797B2 (en) Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US7435676B2 (en) Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US20050164489A1 (en) Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US20060128167A1 (en) Semiconductor device fabrication method
US20060073416A1 (en) Mechanically robust interconnect for low-k dielectric material using post treatment
US9040411B2 (en) Advanced low k cap film formation process for nano electronic devices
IL162435A (en) Bilayer hdp cvd/pe cvd cap in advanced beol interconnect structure and method thereof
JP2005175479A (ja) ライン・レベル・エア・ギャップ
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US20080188074A1 (en) Peeling-free porous capping material
US20040061236A1 (en) Semiconductor device provided with a dielectric film including porous structure and manufacturing method thereof
US20100301495A1 (en) Semiconductor device and method for manufacturing same
US7422020B2 (en) Aluminum incorporation in porous dielectric for improved mechanical properties of patterned dielectric

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication