US20050164489A1 - Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material - Google Patents

Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material Download PDF

Info

Publication number
US20050164489A1
US20050164489A1 US11/045,447 US4544705A US2005164489A1 US 20050164489 A1 US20050164489 A1 US 20050164489A1 US 4544705 A US4544705 A US 4544705A US 2005164489 A1 US2005164489 A1 US 2005164489A1
Authority
US
United States
Prior art keywords
layer
hard mask
electron beam
air gap
sacrificial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/045,447
Inventor
Grant Kloster
Jihperng Leu
Hyun-Mog Park
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/045,447 priority Critical patent/US20050164489A1/en
Publication of US20050164489A1 publication Critical patent/US20050164489A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Embodiments of the invention relate to the field of semiconductor, and more specifically, to semiconductor fabrication.
  • Low dielectric constant (low-k) materials are used in interlayer dielectrics (ILD) in semiconductor devices to reduce propagation delay and improve device performance.
  • ILD interlayer dielectrics
  • the dielectric constant of the material between the metal lines should decrease to maintain the improvement.
  • One major problem with air gap technology is the removal of sacrificial material to fabricate multi-layer structures.
  • FIG. 1 is a diagram illustrating a dual damascene structure in which one embodiment of the invention can be practiced.
  • FIG. 2 is a diagram illustrating formation of an air gap according to one embodiment of the invention.
  • FIG. 3 is a diagram illustrating deposit of barrier layer according to one embodiment of the invention.
  • FIG. 4 is a diagram illustrating deposit of sacrificial layer according to one embodiment of the invention.
  • FIG. 5 is a diagram illustrating deposit of hard mask layer according to one embodiment of the invention.
  • FIG. 6 is a diagram illustrating formation of opening according to one embodiment of the invention.
  • FIG. 7 is a diagram illustrating deposit of metal according to one embodiment of the invention.
  • FIG. 8 is a diagram illustrating removal of excess metal according to one embodiment of the invention.
  • FIG. 9 is a diagram illustrating formation of air gap according to one embodiment of the invention.
  • FIG. 10 is a flowchart illustrating a process to create an air gap according to one embodiment of the invention.
  • FIG. 11 is a flowchart illustrating a process to form a dual damascene structure according to one embodiment of the invention.
  • An embodiment of the present invention includes a method to form an air gap in a multi-layer structure.
  • a dual damascene structure is formed on a substrate.
  • the dual damascene structure has a metallization layer, a barrier layer, a sacrificial layer, and a hard mask layer.
  • the sacrificial layer is made of a sacrificial material having substantial thermal stability and decomposable by an electron beam.
  • the sacrificial layer is removed by the electron beam to create the air gap between the barrier layer and the hard mask layer.
  • One embodiment of the invention may be described as a process which is usually depicted as a flowchart, a flow diagram, a structure diagram, or a block diagram. Although a flowchart may describe the operations as a sequential process, many of the operations can be performed in parallel or concurrently. In addition, the order of the operations may be re-arranged. A process is terminated when its operations are completed. A process may correspond to a method, a procedure, a method of manufacturing or fabrication, etc.
  • FIG. 1 is a diagram illustrating a dual damascene structure 100 in which one embodiment of the invention can be practiced.
  • the dual damascene structure 100 includes a substrate 110 , a barrier layer 120 , a sacrificial layer 130 , a hard mask layer 140 , and metallization layer 150 .
  • the dual damascene is a multi-level interconnection process in which, in addition to the opening or channels of single damascene, the conductive via openings are also formed.
  • the substrate 110 is any suitable substrate for the device fabrication such as silicon.
  • the barrier layer 120 is deposited on the substrate 110 .
  • the barrier layer 120 may be an etch stop or a diffusion layer such as silicon oxide (SiO 2 ) or silicon nitride (Si 3 N 4 ).
  • the sacrificial layer 130 is formed by depositing a sacrificial material on the barrier layer 120 .
  • the sacrificial material has substantial thermal stability and decomposable by electron beam (e-beam). Thermal stability here refers to the ability to sustain the temperature range in the fabrication process.
  • the sacrificial material may be one of a low-k dielectric and an e-beam photo resist material.
  • E-beam photo-resists are not stable enough to withstand thermal conditions during normal device fabrication, but materials based on their composition could be designed for optimal decomposition by e-beam.
  • the positive tone e-beam positive such as ZEP-520TM (by Nippon Zeon), ALEX-ETM, or (poly(methyl methacrylate)) (PMMA) are based on styrene, or butene, acrylate. These components can be incorporated in a cross-linked aromatic polymer to produce a thermally stable material that is susceptible to chain scission by e-beam.
  • the hard mask layer 140 is deposited on the sacrificial layer 130 by any conventional methods such as plasma enhanced vapor deposition, chemical vapor deposition, and physical vapor deposition.
  • the hard mask layer 140 may be porous or non-porous and may be made by materials such as silicon oxide, silicon nitride, carbon-doped silicon nitride (SICN x ), silicon oxy-nitride, sputtered silicon, amorphous silicon, or amorphous carbon.
  • the hard mask layer 140 may be a porous interlevel dielectric (ILD).
  • the hard mask layer 140 , the sacrificial layer 130 , and the barrier layer 120 are then patterned and etched to form an opening, groove, or channel.
  • the metallization layer 150 is then formed by filling the opening with metal such as copper or copper-aluminum alloys.
  • a chemical mechanical polishing (CMP) process removes the excess metal and polishes the metallization layer 150 .
  • CMP chemical mechanical polishing
  • FIG. 2 is a diagram illustrating formation 200 of an air gap according to one embodiment of the invention.
  • the dual damascene structure 100 is then subjected to e-beam processing to create the air gap.
  • E-beam is known to interact with materials destructively. For example, silsesquioxane materials undergo carbon depletion and film shrinkage upon e-beam treatment. Polymers can be completely decomposed by e-beam exposure at relatively low energies, as observed in scanning electron microscopy (SEM) samples not coated with metal surface layers, and in e-beam lithography with positive e-beam photo-resists.
  • SEM scanning electron microscopy
  • the sacrificial layer 130 is made of a sacrificial material that has thermal stability and is decomposable by e-beam, the e-beam decomposes the sacrificial material into volatile components such as carbon dioxide, hydrocarbons, aldehydes, etc. These volatile components are then removed by mild thermal processing. In one embodiment, the temperature range for the thermal processing may be between 100° C. to 200° C. The volatile components can diffuse out of the device through the hard mask layer 140 . The sacrificial layer 130 then becomes an air gap that provides a dielectric constant of close to 1.
  • FIG. 3 is a diagram illustrating deposit 300 of barrier layer according to one embodiment of the invention.
  • a barrier layer 310 is deposited on the dual damascene structure 200 .
  • the barrier layer 310 may be an etch stop or a diffusion layer such as SiO 2 or Si 3 N 4 .
  • a Co shunt may be deposited as a barrier, then a porous etch-stop layer is deposited. Then, the sacrificial material is removed by e-beam. The Co shunt would act as a diffusion barrier.
  • FIG. 4 is a diagram illustrating deposit 400 of sacrificial layer according to one embodiment of the invention.
  • a sacrificial layer 410 is deposited on the barrier layer 310 .
  • the sacrificial layer 410 is made of material similar to that of the sacrificial layer 130 shown in FIG. 1 .
  • the sacrificial layer 410 is made of material having substantial thermal stability and decomposable by e-beam. Separate sacrificial materials may be deposited for the via and trench levels.
  • FIG. 5 is a diagram illustrating deposit 500 of hard mask layer according to one embodiment of the invention.
  • a hard mask layer 510 is deposited on the sacrificial layer 410 by any conventional methods such as plasma enhanced vapor deposition, chemical vapor deposition, and physical vapor deposition.
  • the hard mask layer 510 in essence is similar to the hard mask layer 140 shown in FIG. 1 .
  • it may be porous or non-porous and may be made by materials such as silicon oxide, silicon nitride, carbon-doped silicon nitride (SICN x ), silicon oxy-nitride, sputtered silicon, amorphous silicon, or amorphous carbon.
  • the hard mask layer 510 may be a porous interlevel dielectric (ILD).
  • ILD interlevel dielectric
  • FIG. 6 is a diagram illustrating formation 600 of opening according to one embodiment of the invention.
  • the hard mask layer 510 is patterned for the metal interconnects.
  • the hard mask layer 510 , the sacrificial layer 410 , and the barrier layer 310 are then etched, ashed, and cleaned to form a groove, void, or opening 610 .
  • FIG. 7 is a diagram illustrating deposit 700 of metal according to one embodiment of the invention.
  • Barrier, seed, and metal are deposited to fill the opening 610 .
  • Any suitable metal material can be used.
  • copper is used as the metal material.
  • a metallization layer 710 is formed.
  • FIG. 8 is a diagram illustrating removal 800 of excess metal according to one embodiment of the invention.
  • a chemical mechanical planarization or polishing (CMP) process is then performed to remove excess metal and to polish the metallization layer 710 to form a polished metallization layer 810 .
  • CMP chemical mechanical planarization or polishing
  • a low-down force CMP process is typically used.
  • FIG. 9 is a diagram illustrating formation 900 of air gap according to one embodiment of the invention.
  • the e-beam is then used to penetrate through the hard mask layer 510 to decompose the sacrificial material of the sacrificial layer 410 into volatile components which diffuse out of the hard mask layer 510 by mild thermal processing as discussed earlier.
  • An air gap 910 is then formed between the hard mask layer 510 and the barrier layer 310 and between the metal lines of the metallization layers in the multi-layer device.
  • the air gap 910 provides a dielectric layer having a dielectric constant of close to 1.
  • FIGS. 2 through 9 The process as shown from FIGS. 2 through 9 can be repeated for additional layers built upon the dual damascene structure 100 shown in FIG. 1 .
  • FIG. 10 is a flowchart illustrating a process 1000 to create an air gap according to one embodiment of the invention.
  • the process 1000 forms a substrate (Block 1010 ). Then, the process 1000 forms a dual damascene structure on the previous structure (Block 1020 ).
  • the previous structure is the substrate.
  • the previous structure is the dual damascene structure formed in the previous iteration.
  • the dual damascene structure has a metallization layer, a barrier layer, a sacrificial layer, and a hard mask layer.
  • the sacrificial layer is made of a sacrificial material that has substantial thermal stability and decomposable by electron beam.
  • the Block 1020 is described in more detail in FIG. 11 .
  • the process 1000 decomposes the sacrificial material of the sacrificial layer by electron beam into volatile components or fragments (Block 1030 ). Then, the process 1000 diffuses the volatile components through the hard mask layer by thermal processing (Block 1040 ). The sacrificial layer then becomes an air gap. Next, the process 1000 determines if more layer is needed in the fabrication (Block 1050 ). If so, the process 1000 returns to Block 1020 to continue building an additional structure. Otherwise, the process 1000 is terminated.
  • FIG. 11 is a flowchart illustrating the process 1020 to form a dual damascene structure according to one embodiment of the invention.
  • the process 1020 deposits the barrier layer on the previous structure (Block 1110 ).
  • the previous structure may be the substrate or the previous layer.
  • the process 1020 deposits the sacrificial layer on the barrier layer (Block 1120 ).
  • the process 1020 deposits the hard mask layer on the sacrificial layer (Block 1130 ).
  • the process 1020 patterns and etches the barrier layer, the sacrificial layer, and the hard mask layer to form an opening for the metal deposition (Block 1140 ).
  • the process 1020 fills the opening with the metal material (Block 1150 ).
  • the process 1020 polishes and removes excess metal material by a CMP processing (Block 1160 ). The process 1020 is then terminated.

Abstract

An embodiment of the present invention includes a method to form an air gap in a multi-layer structure. A dual damascene structure is formed on a substrate. The dual damascene structure has a metallization layer, a barrier layer, a sacrificial layer, and a hard mask layer. The sacrificial layer is made of a first sacrificial material having substantial thermal stability and decomposable by an electron beam. The sacrificial layer is removed by the electron beam to create the air gap between the barrier layer and the hard mask layer.

Description

    BACKGROUND
  • 1. Field of the Invention
  • Embodiments of the invention relate to the field of semiconductor, and more specifically, to semiconductor fabrication.
  • 2. Description of Related Art
  • Low dielectric constant (low-k) materials are used in interlayer dielectrics (ILD) in semiconductor devices to reduce propagation delay and improve device performance. As device sizes continue to shrink, the dielectric constant of the material between the metal lines should decrease to maintain the improvement. The eventual limit for the dielectric constant is k=1, which is the value for vacuum. This can be achieved by producing a void space between the metal lines, effectively creating an air gap. Air itself has a dielectric constant very close to 1. One major problem with air gap technology is the removal of sacrificial material to fabricate multi-layer structures.
  • Existing techniques to remove sacrificial material have a number of drawbacks. Use of plasmas may be destructive to the metal lines. Wet etches have many problems, including capillary forces that can break the lines apart, the difficulty in removing material from small features, and the difficulty in removing the wet etch chemical once it has been introduced. Thermal decomposition presents problems in that the sacrificial material must remain stable during high temperature fabrications stages, but it may decompose rapidly at normal temperatures that will not destroy the device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention may best be understood by referring to the following description and accompanying drawings that are used to illustrate embodiments of the invention. In the drawings:
  • FIG. 1 is a diagram illustrating a dual damascene structure in which one embodiment of the invention can be practiced.
  • FIG. 2 is a diagram illustrating formation of an air gap according to one embodiment of the invention.
  • FIG. 3 is a diagram illustrating deposit of barrier layer according to one embodiment of the invention.
  • FIG. 4 is a diagram illustrating deposit of sacrificial layer according to one embodiment of the invention.
  • FIG. 5 is a diagram illustrating deposit of hard mask layer according to one embodiment of the invention.
  • FIG. 6 is a diagram illustrating formation of opening according to one embodiment of the invention.
  • FIG. 7 is a diagram illustrating deposit of metal according to one embodiment of the invention.
  • FIG. 8 is a diagram illustrating removal of excess metal according to one embodiment of the invention.
  • FIG. 9 is a diagram illustrating formation of air gap according to one embodiment of the invention.
  • FIG. 10 is a flowchart illustrating a process to create an air gap according to one embodiment of the invention.
  • FIG. 11 is a flowchart illustrating a process to form a dual damascene structure according to one embodiment of the invention.
  • DESCRIPTION
  • An embodiment of the present invention includes a method to form an air gap in a multi-layer structure. A dual damascene structure is formed on a substrate. The dual damascene structure has a metallization layer, a barrier layer, a sacrificial layer, and a hard mask layer. The sacrificial layer is made of a sacrificial material having substantial thermal stability and decomposable by an electron beam. The sacrificial layer is removed by the electron beam to create the air gap between the barrier layer and the hard mask layer.
  • In the following description, numerous specific details are set forth. However, it is understood that embodiments of the invention may be practiced without these specific details. In other instances, well-known circuits, structures, and techniques have not been shown in order not to obscure the understanding of this description.
  • One embodiment of the invention may be described as a process which is usually depicted as a flowchart, a flow diagram, a structure diagram, or a block diagram. Although a flowchart may describe the operations as a sequential process, many of the operations can be performed in parallel or concurrently. In addition, the order of the operations may be re-arranged. A process is terminated when its operations are completed. A process may correspond to a method, a procedure, a method of manufacturing or fabrication, etc.
  • FIG. 1 is a diagram illustrating a dual damascene structure 100 in which one embodiment of the invention can be practiced. The dual damascene structure 100 includes a substrate 110, a barrier layer 120, a sacrificial layer 130, a hard mask layer 140, and metallization layer 150.
  • The dual damascene is a multi-level interconnection process in which, in addition to the opening or channels of single damascene, the conductive via openings are also formed. The substrate 110 is any suitable substrate for the device fabrication such as silicon. The barrier layer 120 is deposited on the substrate 110. The barrier layer 120 may be an etch stop or a diffusion layer such as silicon oxide (SiO2) or silicon nitride (Si3N4). The sacrificial layer 130 is formed by depositing a sacrificial material on the barrier layer 120. The sacrificial material has substantial thermal stability and decomposable by electron beam (e-beam). Thermal stability here refers to the ability to sustain the temperature range in the fabrication process. The sacrificial material may be one of a low-k dielectric and an e-beam photo resist material. E-beam photo-resists are not stable enough to withstand thermal conditions during normal device fabrication, but materials based on their composition could be designed for optimal decomposition by e-beam. The positive tone e-beam positive such as ZEP-520™ (by Nippon Zeon), ALEX-E™, or (poly(methyl methacrylate)) (PMMA) are based on styrene, or butene, acrylate. These components can be incorporated in a cross-linked aromatic polymer to produce a thermally stable material that is susceptible to chain scission by e-beam. The hard mask layer 140 is deposited on the sacrificial layer 130 by any conventional methods such as plasma enhanced vapor deposition, chemical vapor deposition, and physical vapor deposition. The hard mask layer 140 may be porous or non-porous and may be made by materials such as silicon oxide, silicon nitride, carbon-doped silicon nitride (SICNx), silicon oxy-nitride, sputtered silicon, amorphous silicon, or amorphous carbon. Alternatively, the hard mask layer 140 may be a porous interlevel dielectric (ILD). The hard mask layer 140, the sacrificial layer 130, and the barrier layer 120 are then patterned and etched to form an opening, groove, or channel. The metallization layer 150 is then formed by filling the opening with metal such as copper or copper-aluminum alloys. A chemical mechanical polishing (CMP) process removes the excess metal and polishes the metallization layer 150.
  • FIG. 2 is a diagram illustrating formation 200 of an air gap according to one embodiment of the invention. The dual damascene structure 100 is then subjected to e-beam processing to create the air gap.
  • A number of e-beam sources exist and are used in tools for device fabrication. E-beam is known to interact with materials destructively. For example, silsesquioxane materials undergo carbon depletion and film shrinkage upon e-beam treatment. Polymers can be completely decomposed by e-beam exposure at relatively low energies, as observed in scanning electron microscopy (SEM) samples not coated with metal surface layers, and in e-beam lithography with positive e-beam photo-resists.
  • Since the sacrificial layer 130 is made of a sacrificial material that has thermal stability and is decomposable by e-beam, the e-beam decomposes the sacrificial material into volatile components such as carbon dioxide, hydrocarbons, aldehydes, etc. These volatile components are then removed by mild thermal processing. In one embodiment, the temperature range for the thermal processing may be between 100° C. to 200° C. The volatile components can diffuse out of the device through the hard mask layer 140. The sacrificial layer 130 then becomes an air gap that provides a dielectric constant of close to 1.
  • FIG. 3 is a diagram illustrating deposit 300 of barrier layer according to one embodiment of the invention. To prepare for an additional layer, a barrier layer 310 is deposited on the dual damascene structure 200. The barrier layer 310 may be an etch stop or a diffusion layer such as SiO2 or Si3N4. Alternatively, a Co shunt may be deposited as a barrier, then a porous etch-stop layer is deposited. Then, the sacrificial material is removed by e-beam. The Co shunt would act as a diffusion barrier.
  • FIG. 4 is a diagram illustrating deposit 400 of sacrificial layer according to one embodiment of the invention. A sacrificial layer 410 is deposited on the barrier layer 310. The sacrificial layer 410 is made of material similar to that of the sacrificial layer 130 shown in FIG. 1. In essence, the sacrificial layer 410 is made of material having substantial thermal stability and decomposable by e-beam. Separate sacrificial materials may be deposited for the via and trench levels.
  • FIG. 5 is a diagram illustrating deposit 500 of hard mask layer according to one embodiment of the invention. A hard mask layer 510 is deposited on the sacrificial layer 410 by any conventional methods such as plasma enhanced vapor deposition, chemical vapor deposition, and physical vapor deposition. The hard mask layer 510 in essence is similar to the hard mask layer 140 shown in FIG. 1. In other words, it may be porous or non-porous and may be made by materials such as silicon oxide, silicon nitride, carbon-doped silicon nitride (SICNx), silicon oxy-nitride, sputtered silicon, amorphous silicon, or amorphous carbon. Alternatively, the hard mask layer 510 may be a porous interlevel dielectric (ILD).
  • FIG. 6 is a diagram illustrating formation 600 of opening according to one embodiment of the invention. The hard mask layer 510 is patterned for the metal interconnects. The hard mask layer 510, the sacrificial layer 410, and the barrier layer 310 are then etched, ashed, and cleaned to form a groove, void, or opening 610.
  • FIG. 7 is a diagram illustrating deposit 700 of metal according to one embodiment of the invention. Barrier, seed, and metal are deposited to fill the opening 610. Any suitable metal material can be used. In one embodiment, copper is used as the metal material. A metallization layer 710 is formed.
  • FIG. 8 is a diagram illustrating removal 800 of excess metal according to one embodiment of the invention. A chemical mechanical planarization or polishing (CMP) process is then performed to remove excess metal and to polish the metallization layer 710 to form a polished metallization layer 810. To avoid crushing the metal lines, a low-down force CMP process is typically used.
  • FIG. 9 is a diagram illustrating formation 900 of air gap according to one embodiment of the invention. The e-beam is then used to penetrate through the hard mask layer 510 to decompose the sacrificial material of the sacrificial layer 410 into volatile components which diffuse out of the hard mask layer 510 by mild thermal processing as discussed earlier. An air gap 910 is then formed between the hard mask layer 510 and the barrier layer 310 and between the metal lines of the metallization layers in the multi-layer device. The air gap 910 provides a dielectric layer having a dielectric constant of close to 1.
  • The process as shown from FIGS. 2 through 9 can be repeated for additional layers built upon the dual damascene structure 100 shown in FIG. 1.
  • FIG. 10 is a flowchart illustrating a process 1000 to create an air gap according to one embodiment of the invention.
  • Upon START, the process 1000 forms a substrate (Block 1010). Then, the process 1000 forms a dual damascene structure on the previous structure (Block 1020). For the first iteration, the previous structure is the substrate. For subsequent iterations corresponding to subsequent layers, the previous structure is the dual damascene structure formed in the previous iteration. The dual damascene structure has a metallization layer, a barrier layer, a sacrificial layer, and a hard mask layer. The sacrificial layer is made of a sacrificial material that has substantial thermal stability and decomposable by electron beam. The Block 1020 is described in more detail in FIG. 11.
  • Next, the process 1000 decomposes the sacrificial material of the sacrificial layer by electron beam into volatile components or fragments (Block 1030). Then, the process 1000 diffuses the volatile components through the hard mask layer by thermal processing (Block 1040). The sacrificial layer then becomes an air gap. Next, the process 1000 determines if more layer is needed in the fabrication (Block 1050). If so, the process 1000 returns to Block 1020 to continue building an additional structure. Otherwise, the process 1000 is terminated.
  • FIG. 11 is a flowchart illustrating the process 1020 to form a dual damascene structure according to one embodiment of the invention.
  • Upon START, the process 1020 deposits the barrier layer on the previous structure (Block 1110). As described earlier, the previous structure may be the substrate or the previous layer. Then, the process 1020 deposits the sacrificial layer on the barrier layer (Block 1120). Next, the process 1020 deposits the hard mask layer on the sacrificial layer (Block 1130).
  • Then, the process 1020 patterns and etches the barrier layer, the sacrificial layer, and the hard mask layer to form an opening for the metal deposition (Block 1140). Next, the process 1020 fills the opening with the metal material (Block 1150). Then, the process 1020 polishes and removes excess metal material by a CMP processing (Block 1160). The process 1020 is then terminated.
  • While the invention has been described in terms of several embodiments, those of ordinary skill in the art will recognize that the invention is not limited to the embodiments described, but can be practiced with modification and alteration within the spirit and scope of the appended claims. The description is thus to be regarded as illustrative instead of limiting.

Claims (9)

1-21. (canceled)
22. A device comprising:
a barrier layer on a substrate;
a hard mask layer;
an air gap between the barrier layer and the hard mask layer, the air gap being formed by removing a sacrificial layer using an electron beam, the sacrificial sacrifical layer being made of a sacrificial material having substantial thermal stability and decomposable by the electron beam; and
a metallization layer through the barrier layer, the air gap, and the hard mask layer, the metallization layer being formed by a dual damascene process.
23. The device of claim 22 wherein the sacrificial material is one of a low-k dielectric and an electron beam photo resist material.
24. The device of claim 23 wherein the electron beam photo resist material is produced from a cross-linked aromatic polymer incorporated with a positive tone electron beam photo resist, the electron beam photo resist being based on one of acrylate, styrene, and butene.
25. The device of claim 22 wherein the barrier layer is one of an etch stop and a diffusion layer.
26. The device of claim 25 wherein the diffusion layer is silicon oxide or silicon nitride.
27. The device of claim 22 wherein the hard mask layer is porous and non-porous.
28. The device of claim 22 wherein the hard mask layer is made by one of silicon oxide, silicon nitride, carbon-doped silicon nitride, silicon oxy-nitride, sputtered silicon, amorphous silicon, and amorphous carbon.
29. The device of claim 22 wherein the hard mask layer is a porous interlevel dielectric (ILD).
US11/045,447 2002-09-26 2005-01-27 Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material Abandoned US20050164489A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/045,447 US20050164489A1 (en) 2002-09-26 2005-01-27 Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,047 US6867125B2 (en) 2002-09-26 2002-09-26 Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US11/045,447 US20050164489A1 (en) 2002-09-26 2005-01-27 Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/259,047 Division US6867125B2 (en) 2002-09-26 2002-09-26 Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material

Publications (1)

Publication Number Publication Date
US20050164489A1 true US20050164489A1 (en) 2005-07-28

Family

ID=32029413

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/259,047 Expired - Fee Related US6867125B2 (en) 2002-09-26 2002-09-26 Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US11/045,447 Abandoned US20050164489A1 (en) 2002-09-26 2005-01-27 Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/259,047 Expired - Fee Related US6867125B2 (en) 2002-09-26 2002-09-26 Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material

Country Status (1)

Country Link
US (2) US6867125B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070190771A1 (en) * 2003-03-14 2007-08-16 Lam Research Corporation System and method for stress free conductor removal
US20080254600A1 (en) * 2007-04-10 2008-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures
US20100210111A1 (en) * 2005-03-15 2010-08-19 Round Rock Research, Llc Pitch reduced patterns relative to photolithography features
US20110027985A1 (en) * 2005-08-10 2011-02-03 Kazumichi Tsumura Semiconductor device having aerial wiring and manufacturing method thereof
US20110108992A1 (en) * 2009-11-10 2011-05-12 International Business Machines Corporation Air gap interconnect structures and methods for forming the same
US20110217839A1 (en) * 2005-02-24 2011-09-08 Manfred Engelhardt Interconnect arrangement and associated production methods
US20110260326A1 (en) * 2010-04-27 2011-10-27 International Business Machines Corporation Structures and methods for air gap integration
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US11217481B2 (en) * 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3887175B2 (en) * 2001-02-02 2007-02-28 沖電気工業株式会社 Semiconductor device and manufacturing method thereof
US6835616B1 (en) * 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US7294934B2 (en) * 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
FR2858876B1 (en) * 2003-08-12 2006-03-03 St Microelectronics Sa METHOD FOR FORMATION UNDER A THIN LAYER OF A FIRST MATERIAL OF PORTIONS OF ANOTHER MATERIAL AND / OR VACUUM ZONES
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US6875685B1 (en) * 2003-10-24 2005-04-05 International Business Machines Corporation Method of forming gas dielectric with support structure
US20050170670A1 (en) * 2003-11-17 2005-08-04 King William P. Patterning of sacrificial materials
US7658975B2 (en) * 2003-12-12 2010-02-09 Intel Corporation Sealing porous dielectric materials
TWI292933B (en) * 2004-03-17 2008-01-21 Imec Inter Uni Micro Electr Method of manufacturing a semiconductor device having damascene structures with air gaps
US7071091B2 (en) * 2004-04-20 2006-07-04 Intel Corporation Method of forming air gaps in a dielectric material using a sacrificial film
US7294568B2 (en) * 2004-08-20 2007-11-13 Intel Corporation Formation of air gaps in an interconnect structure using a thin permeable hard mask and resulting structures
US7312146B2 (en) * 2004-09-21 2007-12-25 Applied Materials, Inc. Semiconductor device interconnect fabricating techniques
US7629225B2 (en) * 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
KR100853789B1 (en) 2006-11-27 2008-08-25 동부일렉트로닉스 주식회사 Semiconductor Device and Method of Manufacturing the Same
TW200826233A (en) * 2006-12-15 2008-06-16 Touch Micro System Tech Method of fabricating metal interconnects and inter-metal dielectric layer thereof
FR2911432A1 (en) * 2007-01-11 2008-07-18 Stmicroelectronics Crolles Sas Integrated electronic circuit for use in electronic card, has insulating layer including two insulating zones at level of interconnections or level of contacts, where zones are made of different materials
US7973409B2 (en) 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US7772706B2 (en) * 2007-12-27 2010-08-10 Intel Corporation Air-gap ILD with unlanded vias
US7541277B1 (en) * 2008-04-30 2009-06-02 International Business Machines Corporation Stress relaxation, selective nitride phase removal
EP2283517B1 (en) 2008-05-27 2016-07-13 Nxp B.V. Integrated circuit manufacturing method and integrated circuit
JP2010258213A (en) * 2009-04-24 2010-11-11 Renesas Electronics Corp Semiconductor device and method of manufacturing semiconductor device
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8552485B2 (en) * 2011-06-15 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having metal-insulator-metal capacitor structure
CN102376684B (en) * 2011-11-25 2016-04-06 上海集成电路研发中心有限公司 Copper interconnection structure and preparation method thereof
KR101728288B1 (en) 2011-12-30 2017-04-18 인텔 코포레이션 Self-enclosed asymmetric interconnect structures
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
CN104347487A (en) * 2013-08-06 2015-02-11 中芯国际集成电路制造(上海)有限公司 Manufacturing method of semiconductor device
US9370907B2 (en) * 2014-03-20 2016-06-21 Seagate Technology Llc Apparatuses and methods utilizing etch stop layers
US9679852B2 (en) 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9991249B2 (en) 2016-02-11 2018-06-05 Samsung Electronics Co., Ltd. Integrated circuit and computer-implemented method of manufacturing the same
US9935012B1 (en) 2016-11-28 2018-04-03 Globalfoundries Inc. Methods for forming different shapes in different regions of the same layer
US10868238B2 (en) * 2018-10-15 2020-12-15 Qualcomm Incorporated Magnetic tunnel junction integration without patterning process
US10832950B2 (en) * 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
US11139302B2 (en) 2019-06-10 2021-10-05 Micron Technology, Inc. Integrated assemblies comprising spaces between bitlines and comprising conductive plates operationally proximate the bitlines, and methods of forming integrated assemblies
US11227792B2 (en) * 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias
US11270908B2 (en) * 2020-04-22 2022-03-08 Nanya Technology Corporation Semiconductor die structure with air gaps and method for preparing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US20020158337A1 (en) * 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making
US20030168747A1 (en) * 2002-03-11 2003-09-11 Hussein Makarem A. Method of forming an air gap intermetal layer dielectric (ILD) by utilizing a dielectric material to bridge underlying metal lines

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923074A (en) * 1996-12-03 1999-07-13 Texas Instruments Incorporated Low capacitance interconnect structure for integrated circuits using decomposed polymers
CN1252810C (en) * 1997-01-21 2006-04-19 B·F·谷德里奇公司 Fabrication of semiconductor device with gaps for ultra-low capacitance interconnections
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6555467B2 (en) * 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6734094B2 (en) * 2002-04-29 2004-05-11 Intel Corporation Method of forming an air gap within a structure by exposing an ultraviolet sensitive material to ultraviolet radiation
JP4574145B2 (en) * 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Air gap formation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US20020158337A1 (en) * 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making
US20030168747A1 (en) * 2002-03-11 2003-09-11 Hussein Makarem A. Method of forming an air gap intermetal layer dielectric (ILD) by utilizing a dielectric material to bridge underlying metal lines

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8017516B2 (en) * 2003-03-14 2011-09-13 Lam Research Corporation Method for stress free conductor removal
US20070190771A1 (en) * 2003-03-14 2007-08-16 Lam Research Corporation System and method for stress free conductor removal
US8877631B2 (en) * 2005-02-24 2014-11-04 Infineon Technologies Ag Interconnect arrangement and associated production methods
US20110217839A1 (en) * 2005-02-24 2011-09-08 Manfred Engelhardt Interconnect arrangement and associated production methods
US20100210111A1 (en) * 2005-03-15 2010-08-19 Round Rock Research, Llc Pitch reduced patterns relative to photolithography features
US8598632B2 (en) 2005-03-15 2013-12-03 Round Rock Research Llc Integrated circuit having pitch reduced patterns relative to photoithography features
US8048812B2 (en) * 2005-03-15 2011-11-01 Round Rock Research, Llc Pitch reduced patterns relative to photolithography features
US20110027985A1 (en) * 2005-08-10 2011-02-03 Kazumichi Tsumura Semiconductor device having aerial wiring and manufacturing method thereof
US20110074038A1 (en) * 2007-04-10 2011-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures that include forming air gaps between conductive structures
US8319342B2 (en) 2007-04-10 2012-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures having permeable hard mask for sealing air gap contained by conductive structures
US7871922B2 (en) * 2007-04-10 2011-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures that include forming air gaps between conductive structures
US8729706B2 (en) 2007-04-10 2014-05-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having a permeable hard mask layer sealing an air gap
US20080254600A1 (en) * 2007-04-10 2008-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20110108992A1 (en) * 2009-11-10 2011-05-12 International Business Machines Corporation Air gap interconnect structures and methods for forming the same
US8120179B2 (en) * 2009-11-10 2012-02-21 International Business Machines Corporation Air gap interconnect structures and methods for forming the same
US8383507B2 (en) 2009-11-10 2013-02-26 International Business Machines Corporation Method for fabricating air gap interconnect structures
US20110260326A1 (en) * 2010-04-27 2011-10-27 International Business Machines Corporation Structures and methods for air gap integration
US8896120B2 (en) * 2010-04-27 2014-11-25 International Business Machines Corporation Structures and methods for air gap integration
US11217481B2 (en) * 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias

Also Published As

Publication number Publication date
US6867125B2 (en) 2005-03-15
US20040063305A1 (en) 2004-04-01

Similar Documents

Publication Publication Date Title
US6867125B2 (en) Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US7125792B2 (en) Dual damascene structure and method
US6380096B2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US7098476B2 (en) Multilayer interconnect structure containing air gaps and method for making
US7781332B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US7910477B2 (en) Etch residue reduction by ash methodology
US7700479B2 (en) Cleaning processes in the formation of integrated circuit interconnect structures
US7838428B2 (en) Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7816253B2 (en) Surface treatment of inter-layer dielectric
US7125793B2 (en) Method for forming an opening for an interconnect structure in a dielectric layer having a photosensitive material
US7314828B2 (en) Repairing method for low-k dielectric materials
US6187663B1 (en) Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
JP2010062587A (en) Method for manufacturing semiconductor integrated circuit apparatus
JP2006269537A (en) Semiconductor device and method of manufacturing the same
EP1186009A1 (en) Method for removal of sic
US8674484B2 (en) Dielectric separator layer
US7091612B2 (en) Dual damascene structure and method
US20050239286A1 (en) Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene features
JP2004289155A (en) Barc etching containing selective etching chemicals and high polymeric gas for control of cd
US7172965B2 (en) Method for manufacturing semiconductor device
US20070128553A1 (en) Method for forming feature definitions
JP2004193627A (en) Method of manufacturing semiconductor integrated circuit device
JP4067357B2 (en) Etching method
JP2005005697A (en) Manufacturing method of semiconductor device
US7041230B2 (en) Method for selectively etching organosilicate glass with respect to a doped silicon carbide

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION