CN100504613C - 利用光酸产生剂制造半导体组件的方法 - Google Patents

利用光酸产生剂制造半导体组件的方法 Download PDF

Info

Publication number
CN100504613C
CN100504613C CNB200510132871XA CN200510132871A CN100504613C CN 100504613 C CN100504613 C CN 100504613C CN B200510132871X A CNB200510132871X A CN B200510132871XA CN 200510132871 A CN200510132871 A CN 200510132871A CN 100504613 C CN100504613 C CN 100504613C
Authority
CN
China
Prior art keywords
layer
light acid
light
acid
produces
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB200510132871XA
Other languages
English (en)
Other versions
CN1828424A (zh
Inventor
施仁杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1828424A publication Critical patent/CN1828424A/zh
Application granted granted Critical
Publication of CN100504613C publication Critical patent/CN100504613C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Thin Film Transistor (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本发明公开了一种利用光酸产生剂制造半导体组件的方法,该方法包括提供一基底,在该基底上形成一可微影叠层结构,该可微影叠层结构包括一第一光酸产生层和一光阻层,其中该第一光酸产生层包括至少一光酸产生剂。采用本发明的方法,可避免现有技术中某些由于微影步骤而发生的问题。

Description

利用光酸产生剂制造半导体组件的方法
技术领域
本发明涉及一种制造半导体组件的方法,特别是一种利用光酸产生剂制造半导体组件的方法。
背景技术
半导体技术在一半导体组件的制造过程中,频繁地利用微影(photolithography)步骤。而一微影步骤中,适当的聚焦深度(depth of focus,DOF)范围,即沿着一光轴确认一半导体组件的特征对焦(in focus)的距离,是需要被考虑的一个因素。一有效的DOF是适用于光阻厚度的不同变化、局部的基底阶梯高度分布(topology step height)、圆片中心以及边缘的阶梯高度差。因此,一有效的DOF可以使得一半导体组件在一关键尺寸(criticaldimensions,CD)内制造而不会产生浮污(scumming)(例如不充分显影)、顶部损失缺陷、或者其它问题。然而,某些与DOF有关的问题由于微影步骤而发生,例如放射线剂量强度。举例来说,在一微影过程中,一散焦(defocused)区域的光线剂量强度通常低于一聚焦区域的光线剂量强度,其可能导致非期望的光阻轮廓。
发明内容
本发明所要解决的技术问题在于提供一种制造一半导体组件的方法,利用光酸产生剂制造半导体组件,解决现有技术中所产生的问题。
为实现上述目的,本发明所提供的方法,包括:提供一基底;以及在该基底上形成一可微影叠层结构,该可微影叠层结构包括一第一光酸产生层和一光阻层,其中该第一光酸产生层包括至少一光酸产生剂。
在一实施例中,该方法包括提供一基底,在该基底上形成一光酸产生层,其中该光酸产生层包括至少一光酸产生剂,以及在该光酸产生层上形成一光阻层。
采用本发明的方法,可避免现有技术中某些由于微影步骤而发生的问题,
以下结合附图和具体实施例对本发明进行详细描述,但不作为对本发明的限定。
附图说明
图1a显示一锥形光阻轮廓;
图1b显示一底切光阻轮廓;
图1c显示根据本发明的一或多个实施例所产生的一光阻轮廓;
图2a-2d显示一实施例在不同制作阶段中的部份半导体组件;
图3a-3d显示另一实施例在不同制作阶段中的部份半导体组件;
图4为一图表显示有关DOF的不同组合的剂量强度以及光酸产生剂强度之间的关系。
其中,附图标记:
10:锥形光阻轮廓             12:底切光阻轮廓
14:理想光阻轮廓             100:部份半导体组件
110:基底                    112:导体层
114:介电层                  120:光酸产生层
121:部分光酸产生层          122:光阻层
123:锥形轮廓                124、125:部分光阻
126:光阻轮廓                230:图表
具体实施方式
接着的揭露内容提供多个不同实施例来说明本发明的不同特征,并且利用如下所述的组成以及排列的特殊例子简化本发明。当然,此仅为范例而并非用来限制本发明。此外,揭露内容可能在不同实施例中重复标号以及/或者字母,其目的是为了内容的简化以及清晰,而并非意指不同实施例以及/或者结构之间具有关联。另外,揭露内容其后描述在一第二特征上方形成一第一特征,可能包含利用该第一以及该第二特征直接接触而形成,也可能包含在该第一以及该第二特征之间形成额外特征,如此该第一以及该第二特征并未直接接触。
如图1a-1c所示,具有不同DOF的微影步骤可以产生不同的轮廓。举例来说,将一有限DOF用于一???光阻可能导致相对较少量的光酸产生在—散焦区域。相对在图1c所示的一理想光阻轮廓14,如此的一有限DOF可能导致一锥形(tapered)光阻轮廓10(图1a)或是一底切(undercut)光阻轮廓12(图1b)。
如以下参考特定实施例所描述,可以通过增加该散焦区域的光酸量来减少或是避免轮廓10以及12,以产生类似于轮廓14的一光阻轮廓。在该散焦区域增加光酸量的方法,举例来说,可利用一光酸产生(photo acid generator,PAG)层来实现。在一实施例中,如以下与图2a相关的叙述,包含有一或多个光酸产生剂的一光酸产生层可以形成在一光阻层下方。在另一实施例中,如以下与图3a相关的叙述,包含有一或多个光酸产生剂的一光酸产生层可以形成在一光阻层上方。
如图2a所示,显示一实施例中的一部份半导体组件100。组件100包括一基底110、一导体层112、一介电层114、一光酸产生层120、以及一光阻层122。基底110可以包括一或多个绝缘层、导体层、以及/或者半导体层。举例来说,基底110可以包括一元素半导体,例如:结晶硅、多晶硅、非晶硅以及/或者锗;一化合物半导体,例如:碳化硅以及/或者砷化镓;或者合金半导体,例如:硅锗(SiGe)、磷砷化镓(GaAsP)、砷化铟铝(AlInAs)、砷化铝镓(AlGaAs)以及/或者磷化铟镓(GaInP)。另外,基底110可以包括一主体半导体(bulk semiconductor),例如一主体硅(bulk silicon),并且此主体半导体可以包括一磊晶硅层,也可包括或者选择地包括一绝缘半导体(semiconductor-on-insulator)基底,例如一绝缘层上覆硅(silicon-on-insulator,SOI)基底,或者一薄膜晶体管(TFT)基底。基底110也可包括或者选择地包括一多层硅基底或者一多层化合物半导体结构。
导体层112可以通过一化学气相沉积(CVD)、电浆辅助化学气相沉积(PECVD)、物理气相沉积(PVD)、离子化金属溅镀(I-PVD)、原子层沉积(ALD)、电镀以及/或者其它制程形成于基板110中的一凹口内。在形成导体层112的过程中,也可以进行一化学机械平坦化以及/或者化学机械研磨。举例来说,导体层112被平坦化以与基底110的表面大体上同平面,如图2a所示。其它实施例中,导体层112并未全面地平坦化,因此导体层112至少部分地延伸至基底110表面之上。在此对形成在基底110中的导体层112的特性描述预期可符合上述两种实施例,以及其它可替代的实施例。
导体层112可以是与半导体组件、集成电路组件、集成电路零件连接的导电特征,以及/或者其中的内连接线路。导体层112可能包含铝、铝合金、铜、铜合金、钨、以及/或者其它导电材料。
介电层114可以形成在基底110的表面,其可通过一化学气相沉积(CVD)、电浆辅助化学气相沉积(PECVD)、原子层沉积(ALD)、物理气相沉积(PVD)、旋转涂布(spin-on coating)以及/或者其它工艺形成。介电层114可能是一金属层间介电层(IMD),并且可能包含一低介电值(low-k)材料、二氧化硅、聚醯亚胺(polyimide)、旋转涂布玻璃(SOG)、氟化玻璃(FSG)、Black Diamond
Figure C200510132871D0006162918QIETU
(加州,圣克拉拉应用材料公司的产品)、干胶(Xerogel)、气凝胶(Aerogel)、掺氟的非晶碳(fluorinated amorphous carbon)以及/或者其它材料。
光酸产生层120包括至少一光酸产生剂,其包括一或多个组成例如羫基铵盐(aryl onium salt)或者塞吩(thiophene)。该光酸产生剂可以和多种物质中的任一化合。举例来说,该光酸产生剂可以溶解于一溶剂中,例如丁醇、水、以及/或者任何其它适合的溶剂。在另一实施例中,该光酸产生剂可与一或多种聚合物混合,例如丙烯酸酯、丙烯酸甲酯、对羟基苯乙烯、以及/或者任何其它适合的聚合物,其可能是可显影的(developable)或者不可显影的(non-developable)。
在某些实施例中,该光酸产生剂可能包括一或多种离子的(ionic)或非离子的(non-ionic)组成。光酸产生层120可以通过多种技术形成于介电层114上,例如旋转涂布、物理气相沉积、化学气相沉积、以及/或者其它工艺。举例来说,光酸产生层120可以喷涂于介电层114上。可以理解的是光酸产生层120的形成方法是根据光酸产生层120的组成物质而改变。
在某些实施例中,光酸产生层120可以形成一底部抗反射层(bottomanti-reflective layer,BARC),其吸收穿透光阻层122底部的光线。为了达到上述目的,光阻层120包括一具有高消光系数(extinction coefficient)物质以及/或者相当的厚度。然而,光酸产生层120的一高系数可能导致该光酸产生层的高反射性,其抵销了该底部抗反射层的有效性。因此,经过思考后光酸产生层具有一系数值大约介于0.2至0.5,以及具有一厚度大约200纳米。然而,值得注意的是,其它范围的系数值以及厚度也在本揭露内容考虑之列。
此外,也可采用一指数相称接近的光酸产生层120作为一底部抗反射层。举例来说,光酸产生层120可以包含一具有一反射指数以及厚度与微影工艺使用的光线相称的材料。实施时,当光线照到光酸产生层120,一部份的光线及自该处反射。同时,另一部份的光线进入光酸产生层120并且转换为具有一相位移的光线,其与自光酸产生层120反射的第一部份光线发生干涉,造成光反射的降低。
光阻层122可以利用一例如旋转涂布法(spin-on coating)形成于光酸产生层120上。举例来说,一光阻溶液施加于光酸产生层120的表面,然后组件100快速旋转直到该光阻溶液几乎变干。光阻层122可以是一利用酸催化的化学增幅(Chemical amplify)阻剂。在此例中,光阻层是通过溶解一酸敏感聚合物在一铸模溶液(casting solution)来配制。
在光阻层122的沉积以后,部分半导体组件100可以经历一软烘烤(也称为预先烘烤或者涂后烘烤)步骤以预进行接下来的的显影步骤。
如图2b所示,部分半导体组件100在一曝光步骤期间暴露于放射线以在光阻层122中创造一潜像(latent image)。根据本实施例,该曝光步骤是在光阻层122造成一锥形轮廓(以标号123表示)。除了锥形区域123的曝光之外,该曝光步骤是曝光一部分光酸产生层(以标号121表示)并且在其中产生光酸。曝光的部分光酸产生层121的实际大小可以不同于图2b所示(例如:部分光酸产生层121可能为锥形等等)。
如图2c所示,一曝光后烘烤(post-exposure baking)步骤是在该曝光工艺后实施在组件100。在该步骤过程中,由部分光酸产生层121产生的光酸扩散至该光阻(特别是部分光阻124以及125)并且与其发生反应,以通过该热烘烤步骤起始的一催化反应将该光阻去保护化(de-protect)(例如:移除该光阻的保护群)。
如图2d所示,在该曝光后烘烤步骤之后,组件100经历一显影步骤以显影光阻层122。由于自部分光酸产生层121扩散的光酸引起的上述反应,该显影步骤产生一光阻轮廓126,第2b图所示的锥形侧壁明显地被缩小或消除了。因此,光酸产生层120是导致一改良聚焦深度(DOF)。在一实施例中,改良的DOF可以接近0.15(相对于缺少光酸产生层120的DOF为0.05)。
虽然在如图2d所示的步骤之后可以接着进行额外的制造步骤,由于此类制造步骤均与现有技术相似或相同,因而不在此赘述。
如图3a所示,在另一实施例中,显示一部份半导体组件100。组件100包括一基底110、一导电层112、一介电层114、一光阻层122、以及一光酸产生层120覆盖该光阻层。基底110可以包括一或多个绝缘层、导电层、以及/或者半导体层。举例来说,基底110可以包括一元素半导体,例如:结晶硅、多晶硅、非晶硅、以及/或者锗;一化合物半导体,例如:碳化硅以及/或者砷化镓;或者合金半导体,例如:硅锗(SiGe)、磷砷化镓(GaAsP)、砷化铟铝(AlInAs)、砷化铝镓(AlGaAs)以及/或者磷化铟镓(GaInP)。再者,基底110可以包括一主体半导体,例如一主体硅,并且此主体半导体可以包括一磊晶硅层,也可包括或者选择地包括一绝缘半导体基底,例如一绝缘层上覆硅(SOI)基底,或者一薄膜晶体管(TFT)基底。基底110也可包括或者选择地包括一多层硅基底或者一多层化合物半导体结构。
导体层112可以通过一化学气相沉积(CVD)、电浆辅助化学气相沉积(PECVD)、物理气相沉积(PVD)、离子化金属溅镀(I-PVD)、原子层沉积(ALD)、电镀以及/或者其它工艺形成在基板110中的一凹口内。在形成导体层112的过程中,也可以进行一化学机械平坦化以及/或者化学机械研磨。举例来说,导体层112被平坦化以与基底110的表面大体上同平面,如图2a所示。其它实施例中,导体层112并未全面地平坦化,因此导体层112至少部分地延伸至基底110表面之上。在此对形成在基底110中的导体层112的特性描述预期可符合上述两种实施例,以及其它可替代的实施例。
导体层112可以是与半导体组件、集成电路组件、集成电路零件连接的一导电特征,以及/或者其中的内连接线路。导体层112可能包含铝、铝合金、铜、铜合金、钨、以及/或者其它导电材料。
介电层114可以形成在基底110的表面,其可通过一化学气相沉积(CVD)、电浆辅助化学气相沉积(PECVD)、原子层沉积(ALD)、物理气相沉积(PVD)、旋转涂布(spin-on coating)以及/或者其它工艺形成。介电层114可能是一金属层间介电层(IMD),并且可能包含一低介电值(low-k)材料、二氧化硅、聚醯亚胺(polyimide)、旋转涂布玻璃(SOG)、氟化玻璃(FSG)、Black Diamond
Figure C200510132871D0006162918QIETU
(加州,圣克拉拉应用材料公司的产品)、干胶(Xerogel)、气凝胶(Aerogel)、掺氟的非晶碳以及/或者其它材料。
光阻层122可以利用一例如旋转涂布法(spin-on coating)形成在介电层114上。举例来说,一光阻溶液施加于介电层114的表面,然后组件100快速旋转直到该光阻溶液几乎变干。光阻层122可以是一利用酸催化的化学增幅(Chemical amplify)阻剂。在此例中,光阻层通过溶解一酸敏感聚合物在一铸模溶液来配制。
光酸产生层120形成于光阻层122上方。光酸产生层120包括至少一光酸产生剂,其包括一个或多个组成例如羫基铵盐(aryl onium salt)、塞吩(thiophene)、或者任何其它适合的组成。该光酸产生剂可以和多种物质中的任一化合。举例来说,该光酸产生剂可以溶解于一溶剂中,例如丁醇、水、以及/或者任何其它适合的溶剂。在其它实施例中,该光酸产生剂可与一或多种聚合物混合,例如丙烯酸酯、丙烯酸甲酯、对羟基苯乙烯、以及/或者任何其它适合的聚合物,其可能是可显影的或者不可显影的。在某些实施例中,该光酸产生剂可能包括一个或多种离子的或非离子的组成。光酸产生层120可以通过多种技术形成于光阻层122上,例如旋转涂布、物理气相沉积、化学气相沉积、以及/或者其它工艺。举例来说,光酸产生层120可以喷涂于光阻层122上。可以理解的是光酸产生层120的形成方法是根据光酸产生层120的组成物质而改变。
在某些实施例中,光酸产生层120可以形成一顶部抗反射层(topanti-reflective coating,TARC)。作为一顶部抗反射层,光酸产生层120可以是半透明或透明,并且其作用相似于一指数相称(index-matched)的底部抗反射层(如同前述内容所揭露)。
在光酸产生层120的沉积以后,部分半导体组件100可以经历一软烘烤(也称为预先烘烤或者涂后烘烤)步骤以预进行接下来的的显影步骤。
如图3b所示,部分半导体组件100在一曝光步骤期间暴露于放射线以在光阻层122中创造一潜像。根据本实施例,该曝光步骤是在光阻层122造成一底切或者一T-top轮廓(以标号123表示)。除了锥形区域123的曝光之外,该曝光步骤是曝光一部分光酸产生层(以标号121表示)并且在其中产生光酸。曝光的部分光酸产生层121的实际大小可以不同于图3b所示。
如图3c所示,一曝光后烘烤(post-exposure baking)步骤是在该曝光工艺后实施于组件100。在该步骤过程中,由部分光酸产生层121产生的光酸是扩散至该光阻(特别是部分光阻124以及125)并且与其发生反应,以通过该热烘烤步骤起始的一催化反应将该光阻去保护化(例如:移除该光阻的保护群)。
如图3d所示,在该曝光后烘烤步骤之后,组件100经历一显影步骤以显影光阻层122。由于自部分光酸产生层121扩散的光酸引起的上述反应,该显影步骤产生一光阻轮廓126,图3b所示的锥形侧壁明显地被缩小或消除了。因此,光酸产生层120导致一改良聚焦深度(DOF)。在一实施例中,改良的DOF可以接近0.15(相对于缺少光酸产生层120的DOF为0.05)。
虽然在如图3d所示的步骤之后可以接着进行额外的制造步骤,由于此类制造步骤均与现有技术相似或相同,因而不在此赘述。
上述实施例的多种延伸变化可在此考虑。举例来说,图2a-2d的光酸产生层120可以包括两层:一第一层,其包括至少一光酸产生剂溶解于一溶剂并且喷涂在基底110上,以及一第二层,其包括一含有至少一光酸产生剂的一底部抗反射层。在另一例子中,图3a-3d的光酸产生剂层120可以包括两层:一第一层,其包括至少一光酸产生剂溶解于一溶剂并且喷涂在光阻层122上,以及一第二层,其包括一含有至少一光酸产生剂的一顶部抗反射层。在另一实施例中,组件100可以同时包括一光酸产生层位于光阻层122下方(如图2a-2d所示)以及一光酸产生层位于光阻层122上方(如图3a-3d所示)。再在另一实施例中,组件100可以包括两层光酸产生层(一位于光阻层122上方,另一位于光阻层120下方),并且至少其中一光酸产生层包括多数层(即一底部抗反射层或者一顶部抗反射层)。
如图4所示,图表230说明有关DOF的不同示范组合的剂量强度以及光酸产生剂强度。左边坐标轴,是表示光(以μm为单位),说明各个剂量强度的示范大小。如同图表230所示,光酸产生剂强度(即需要的光酸产生剂量)是随着剂量强度脱离理想值(以0表示)而增加。可以理解的是,在某一程度的剂量强度时,进一步增加光酸产生剂强度可能无法额外改善DOF。
当然,本发明还可有其它多种实施例,在不背离本发明精神及其实质的情况下,熟悉本领域的技术人员当可根据本发明作出各种相应的改变和变形,但这些相应的改变和变形都应属于本发明所附的权利要求的保护范围。

Claims (7)

1.一种半导体组件的制作方法,包含:
提供一基底;在该基底上形成一可微影叠层结构,该可微影叠层结构包括一第一光酸产生层和一光阻层,其中该第一光酸产生层介于该基底和该光阻层之间;
形成一第二光酸产生层于该光阻层上,其中该第一光酸产生层包括至少一光酸产生剂,该第二光酸产生层包括至少一光酸产生剂;
屏蔽该第二光酸产生层;
曝光该第二光酸产生层、该光阻层,并且利用该屏蔽步骤定义该第一光酸产生层;
对该第二光酸产生层、该光阻层,以及该第一光酸产生层进行一热烘烤步骤;
移除该第二光酸产生层;以及
在移除该第二光酸产生层之后,显影该曝光的光阻层。
2.根据权利要求1所述的方法,其特征在于,该第一光酸产生层为一底部抗反射层。
3.根据权利要求1所述的方法,其特征在于,进一步包含:
屏蔽该光阻层;
曝光该光阻层,并且利用该屏蔽步骤定义该第一光酸产生层;
对该曝光的光阻层以及该第一光酸产生层进行一热烘烤步骤;以及
显影该曝光的光阻层。
4.根据权利要求1所述的方法,其特征在于,该第二光酸产生层为一顶部抗反射层。
5.根据权利要求1所述的方法,其特征在于,该第一光酸产生层包括该至少一光酸产生剂溶解于一溶剂中。
6.根据权利要求1所述的方法,其特征在于,该第一光酸产生层包含该至少一光酸产生剂掺合于一聚合物中。
7.根据权利要求1所述的方法,其特征在于,该至少一光酸产生剂为离子组成或一非离子组成。
CNB200510132871XA 2005-03-01 2005-12-27 利用光酸产生剂制造半导体组件的方法 Active CN100504613C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/070,396 2005-03-01
US11/070,396 US20060199111A1 (en) 2005-03-01 2005-03-01 Method for manufacturing semiconductor devices using a photo acid generator

Publications (2)

Publication Number Publication Date
CN1828424A CN1828424A (zh) 2006-09-06
CN100504613C true CN100504613C (zh) 2009-06-24

Family

ID=36944478

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200510132871XA Active CN100504613C (zh) 2005-03-01 2005-12-27 利用光酸产生剂制造半导体组件的方法

Country Status (3)

Country Link
US (1) US20060199111A1 (zh)
CN (1) CN100504613C (zh)
TW (1) TWI303080B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102129167B (zh) * 2010-01-12 2015-10-14 中芯国际集成电路制造(上海)有限公司 光刻掩膜以及光刻方法
CN107660277B (zh) * 2015-04-13 2020-12-29 东京毅力科创株式会社 用于对衬底进行平坦化的系统和方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG52770A1 (en) * 1992-07-10 1998-09-28 Hoechst Celanese Corp Metal ion reduction in top anti-reflective coatings for photoresists
US5303002A (en) * 1993-03-31 1994-04-12 Intel Corporation Method and apparatus for enhancing the focus latitude in lithography
JPH0869113A (ja) * 1994-08-30 1996-03-12 Sony Corp 反射防止膜形成材料、レジストパターン形成方法、及び半導体装置の製造方法
US6199991B1 (en) * 1997-11-13 2001-03-13 U.S. Philips Corporation Mirror projection system for a scanning lithographic projection apparatus, and lithographic apparatus comprising such a system
US20020102483A1 (en) * 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
US6218077B1 (en) * 1998-10-26 2001-04-17 Agere Systems Guardian Corp. Method of manufacturing an integrated circuit using a scanning system and a scanning system
US6261727B1 (en) * 1999-12-28 2001-07-17 Taiwan Semiconductor Manufacturing Company DOF for both dense and isolated contact holes
US20040013971A1 (en) * 2001-11-21 2004-01-22 Berger Larry L Antireflective layer for use in microlithography
US6488509B1 (en) * 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
US6645851B1 (en) * 2002-09-17 2003-11-11 Taiwan Semiconductor Manufacturing Company Method of forming planarized coatings on contact hole patterns of various duty ratios
US20060292501A1 (en) * 2005-06-24 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process with an enhanced depth-on-focus

Also Published As

Publication number Publication date
TWI303080B (en) 2008-11-11
US20060199111A1 (en) 2006-09-07
TW200632546A (en) 2006-09-16
CN1828424A (zh) 2006-09-06

Similar Documents

Publication Publication Date Title
US5830624A (en) Method for forming resist patterns comprising two photoresist layers and an intermediate layer
US6319821B1 (en) Dual damascene approach for small geometry dimension
US5314772A (en) High resolution, multi-layer resist for microlithography and method therefor
JP2846761B2 (ja) 伝導層パターン形成方法
US6645851B1 (en) Method of forming planarized coatings on contact hole patterns of various duty ratios
JP2000068267A (ja) 半導体デバイス、半導体基板上にパタ―ン化された導電性層を作成する方法、半導体製造におけるウェハ―基板の処理方法及び処理された製品
US8501394B2 (en) Superfine-patterned mask, method for production thereof, and method employing the same for forming superfine-pattern
CN1256438A (zh) 半导体制造中防止由介质防反射层引起的光致抗蚀剂中毒
JP4481902B2 (ja) 多層レジスト法によるパターン形成方法
US8084185B2 (en) Substrate planarization with imprint materials and processes
JPS6366939A (ja) 集積回路の製法
CN101207072A (zh) 形成双重镶嵌图案的方法
CN101154586A (zh) 接触孔的制造方法
CN100504613C (zh) 利用光酸产生剂制造半导体组件的方法
JP4401023B2 (ja) 遠紫外線フォトレジストを用いたディープサブミクロンメタライゼーション
CN101996925A (zh) 在沟槽底部形成通孔的方法
KR100219562B1 (ko) 반도체장치의 다층 배선 형성방법
US20030186547A1 (en) Method for forming fine patterns in semiconductor device
JP2016206449A (ja) パターン形成方法
US6544885B1 (en) Polished hard mask process for conductor layer patterning
US20040180295A1 (en) Method for fabricating a dual damascene structure using a single photoresist layer
JPH0564338B2 (zh)
TWI286267B (en) A system and method for manufacturing semiconductor devices using an anti-reflective coating layer
JPS61248427A (ja) 多層配線の形成方法
JP2856173B2 (ja) 反射防止構造とパターン形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant