CN100434196C - 半导体加工设备的抗腐蚀组件及其制造方法 - Google Patents

半导体加工设备的抗腐蚀组件及其制造方法 Download PDF

Info

Publication number
CN100434196C
CN100434196C CNB018224520A CN01822452A CN100434196C CN 100434196 C CN100434196 C CN 100434196C CN B018224520 A CNB018224520 A CN B018224520A CN 01822452 A CN01822452 A CN 01822452A CN 100434196 C CN100434196 C CN 100434196C
Authority
CN
China
Prior art keywords
assembly
substrate
plasma
liquid crystal
crystal polymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB018224520A
Other languages
English (en)
Other versions
CN1487858A (zh
Inventor
R·J·奥丹尼尔
C·C·常
J·E·多尔蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1487858A publication Critical patent/CN1487858A/zh
Application granted granted Critical
Publication of CN100434196C publication Critical patent/CN100434196C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)

Abstract

等离子室的抗腐蚀组件包括液晶聚合物。在优选实施方案中,液晶聚合物(LCP)位于具有阳极化或未阳极化表面的铝组件上。液晶聚合物也可位于氧化铝组件。液晶聚合物可通过如等离子喷涂的方法沉积。液晶聚合物也可以预制薄板或其它适合覆盖反应室暴露表面的形状配备。此外,通过从一块固态液晶聚合物加工出组件或由聚合物材料成型组件,反应器组件可完全由液晶聚合物制成。液晶聚合物可包含增强填料如玻璃或矿物填料。

Description

半导体加工设备的抗腐蚀组件及其制造方法
发明背景
发明领域
本发明大体涉及半导体晶片的制造,并更具体的涉及具有可在加工时减少颗粒和金属污染的内表面的高密度等离子刻蚀室。
相关领域说明
在半导体加工领域,真空加工室通常用于刻蚀和在衬底上化学气相沉积(CVD)材料,这是通过向真空室通入刻蚀或沉积气体以及对气体施加射频场以激发气体成等离子态。平行板的例子如,变压器耦合等离子体(TCPTM)也称为感应耦合等离子体和电子回旋加速器谐振(ECR)反应器及其组件公开于共同所有的美国专利Nos.4,340,462;4,948,458;5,200,232和5,820,723中。由于在上述反应器中等离子环境的腐蚀本性和使颗粒和/或金属污染减至最小的要求,迫切需要上述设备的组件表现出高抗腐蚀性。
在加工半导体衬底时,衬底通常由衬底座如机械夹和静电夹(ESC)固定在真空室内部。上述夹持装置及其组件的例子可在共同所有的美国专利Nos.5,262,029和5,838,529中找到。工作气体可以多种方式通入真空室,如通过气体分配盘。感应耦合等离子体反应器及其组件的温控气体分配盘的例子可在共同所有的美国专利No.5,863,376中找到。除等离子室设备外,其它用于加工半导体衬底的设备包括传送机构,气体供应装置,内衬、提升机构,负载锁,室门机构,机械臂和紧固件等。上述设备的不同组件易受与半导体加工相关联的腐蚀环境的侵蚀。此外,考虑到加工半导体衬底如硅晶片和介电材料如用于平板显示的玻璃衬底对于高纯度的要求,迫切需要在上述环境中具有改良抗腐蚀性能的组件。
铝和铝合金典型应用于壁,电极,衬底台,紧固件和等离子反应器的其它组件。为防止上述金属组件的腐蚀,提出多项技术在铝表面镀不同涂层。例如,美国专利5,641,375公开了铝室壁经阳极化处理以减少等离子侵蚀和壁的磨损。‘375专利陈述阳极化处理层最终会被溅射或侵蚀掉且必须更换刻蚀室。美国专利No.5,895,586公开,可从日本专利公开No.62-103379中找到在铝材料上形成一层Al2O3,AlC,TiN,TiC,AlN等的抗腐蚀膜的技术。美国专利No.5,680,013陈述在蚀刻室金属表面上火焰喷射Al2O3的技术公开于美国专利No.4,491,496。‘013专利陈述铝和陶瓷如氧化铝热膨胀系数的差异会导致涂层因热循环开裂并最终在腐蚀环境中涂层失效。美国专利No.5,879,523公开了一种溅射室,其中对一种金属如不锈钢或铝施加一层热喷敷Al2O3涂层,其间可选择性存在NiAlx粘合层。美国专利No.5,522,932公开了在用于衬底等离子体加工的仪器金属组件上的铑涂层,其间可选择性的有镍涂层。
也提出过内衬的布置来保护等离子反应室壁。例如,美国专利No.5,798,016公开了陶瓷材料,铝,钢和/或石英的内衬。美国专利No.5,366,585公开了由固态氧化铝制成的独立陶瓷内衬。‘585专利也公开了在铝表面镀火焰喷射和等离子喷涂的氧化铝层。美国专利No.5,885,356公开了在化学气相沉积室中使用的陶瓷内衬材料。
随着集成电路装置持续在物理尺寸和工作电压上的减小,其相关制造产量越来越易受到颗粒和金属杂质的污染。因此,制造更小物理尺寸的集成电路装置要求颗粒和金属污染水平低于原先认可的水平。
基于上述,需要高密度等离子加工室,其暴露于等离子体的内表面具有更高抗腐蚀性并有助于使加工的晶片杂质(如,颗粒和金属杂质)降至最低。
发明简述
在本发明第一个实施方案中,提供了制造半导体加工设备组件的方法。该方法包括在组件表面附以液晶聚合物,使液晶聚合物形成组件外表面。
在本发明第二个实施方案中,提供了半导体加工设备组件,其中组件包括液晶聚合物。也提供了包含至少一个上述组件的等离子室。
在本发明第三个实施方案中,提供了在上述等离子室中加工半导体衬底的方法。在依照本发明的方法中,衬底移至等离子室内且用等离子体处理衬底的暴露表面。在本发明更优选的实施方案中,该方法包括以下几步:置衬底于反应器中衬底座上;向反应器中通入工作气体;向工作气体施加射频能量以在衬底暴露的表面附近产生等离子体;用等离子体刻蚀暴露的衬底表面。
附图简述
通过参考附图,我们将更详尽地描述本发明。附图中相同的元件有相同的参考标号,其中:
图1表示了常规的等离子喷涂方法;
图2表示了依照本发明一项实施方案的多晶硅刻蚀设备的气环装置的横截面图;和
图3表示了包含依照本发明组件的高密度氧化物刻蚀室。
本发明优选实施方案详述
在集成电路(IC)中导电材料第一层是与嵌入晶片表面的晶体管直接接触的多晶硅或多晶硅化物的薄膜。多晶硅刻蚀后,仅保留操作晶体管所需的和在其间形成点到点连接的导电轨迹。由于多晶刻蚀在晶片表面进行,控制污染尤为重要。
典型地,用于刻蚀材料如多晶硅的加工室需要相对高的能量以获得所需的刻蚀效果。高能量的需要源于轰击和破坏多晶硅薄膜的强键和驱动化学反应以生成挥发性刻蚀产物的需要。这些室因此被称为“高密度刻蚀室”,其能够产生高等离子体密度,以对衬底提供高离子通量并在低压下获得高刻蚀速率。
当高密度刻蚀室刻蚀所需表面工作正常时,刻蚀室内表面受到高离子能量影响。于是,刻蚀室内表面材料经物理溅射或化学溅射,因离子的轰击而被去除,这取决于材料的组成和刻蚀气体的组成。考虑到半导体加工设备组件对高纯度和抗腐蚀的需要,本领域需要在用于上述组件的材料和/或涂层上作改进。此外,关于反应室的材料,任何可增加等离子体反应室使用寿命从而减少仪器停机时间的材料,将有助于降低半导体衬底的加工成本。
本发明提供一种有效的方法来为半导体加工设备组件如等离子加工反应室的部件表面提供抗腐蚀性。上述组件包括室壁,衬底座,气体分配系统(包括喷头,隔板,环,喷嘴等),紧固件,加热元件,等离子屏,内衬,传输模块组件如机械臂,紧固件,室内外壁等等。在本发明中,组件本身可由液晶聚合物制造,或暴露于等离子体中的组件表面镀或另覆一层液晶聚合物。
液晶聚合物是高熔点热塑性聚合物。聚合物在熔融态典型地具有随机分子取向,但是当液晶聚合物熔融时,其细长、刚性分子可排列成高有序构形,该构形能产生许多独特的性质。这些性质包括低结晶热,极高流动性和优异的熔体强度。
本发明者发现液晶聚合物具有用于等离子体刻蚀室所需的性能。具体是,液晶聚合物提供可降低反应室中颗粒污染水平的抗腐蚀表面。当在等离子体中被刻蚀,溅射和/或被反应活性组分化学侵蚀时,液晶聚合物生成挥发性副产物。这些挥发性副产物可方便地排出加工室。
依照本发明一项优选实施方案,液晶聚合物是等离子喷涂到等离子体反应器组件表面上的。等离子喷涂容许对室复杂内表面或其它室组件涂层。一项等离子喷涂液晶聚合物典型技术公开于美国专利No.6,120,854。对于等离子喷涂,典型使用的是呈粒状原料形态的液晶聚合物。
等离子喷涂方法典型地涉及喷涂熔融或受热软化材料到表面上。图1表示了一种典型的等离子喷涂工艺。通常呈粉末态的涂层材料112,注入高温等离子焰114中,在那里其被迅速加热并加速至高速。热材料撞击到衬底表面116上并快速冷却形成涂层118。从而,喷涂后的表面通常粗糙而且有纹理的。
等离子喷涂枪120典型地包括铜阳极122和钨阴极124,两者都是经过水冷的。等离子气126(氩气,氮气,氢气,氦气)在阴极周围的流向大致由箭头128指明并穿过阳极122,阳极为收缩嘴形状。等离子体由高压放电激发,其引起局域电离化并在阴极124和阳极122之间形成直流电弧的导电通路。电弧引起的电阻热导致气体达到极高的温度,分离并离子化形成等离子体。等离子体以自由或中性等离子焰(不带电流的等离子体)脱离阳极嘴122。当将等离子体稳定以准备喷涂时,电弧向下延伸至喷嘴。粉末112通过安置在阳极嘴出口134附近的外部粉末口132送进等离子焰中。粉末112迅速加热并加速,使得喷射距离136(喷嘴尖端和衬底表面之间距离)可在125~150mm量级。这样通过引发熔融和受热软化颗粒撞击衬底的方法制成等离子喷涂涂层。
在本发明中,可采用表面加工技术如清洗和喷砂或喷丸处理以为结合提供更具化学和物理活性的表面。涂层前,优选地彻底清洗衬底表面以除去如氧化物或油脂的表面物质。此外,表面可采用已知技术如喷砂处理在涂层前粗糙化。通过喷砂处理,可供结合的表面区域扩大,这可增加涂层结合强度。粗糙的表面外形可促进涂层与衬底的机械键锁或互锁。
依照本发明适和使用的液晶聚合物是VECTRALCP,其为Celanese AG的注册商标。VECTRA
Figure C0182245200082
LCP是聚芳酯聚合物,其为热致变性的并在熔融态易流动。其它可用液晶聚合物包括ZNITE
Figure C0182245200083
LCP,其为E.I.Dupont de Nemours and Company的注册商标。其它合适的液晶聚合物公开于美国专利Nos.5,216,092和5,296,542中。
在本发明一项优选实施方案中,液晶聚合物组件用作多晶硅高密度等离子体反应器的组件。典型的此类反应器为TCP9400TM等离子刻蚀反应器,可从LAM Research Corporation of Fremont,California.得到。在TCP9400TM反应器中,工作气体(如Cl2,HBr,CF4,CH2F2,O2,N2,Ar,SF6和NF3)导入置于刻蚀室底部的气环内然后通过气孔导入反应室。图2表示了依照本发明的多晶硅刻蚀反应器的气环装置的横截面图。如图2所示,气环40的主体包围衬底座44。气环40下表面包含一环形气体引导槽60。上述气孔50延伸进入气体引导槽60。
气环典型由铝构成。气环上表面直接暴露于等离子体,这样易受腐蚀。为保护这些表面,气环典型地覆盖一层氧化铝。依照本发明,气环暴露的表面可用液晶聚合物涂层42覆盖。液晶聚合物可涂覆于裸铝层(除本身的氧化物外)或氧化铝层(如有阳极化处理表面的铝)。应用涂层优选采用等离子喷涂方法,但其它适用于液晶聚合物的涂层方法也可采用。当对气环涂层时,涂层可容许部分地渗入气孔以镀覆并保护其内壁。然而,涂层材料不应以任何方式以致阻档开口。这样,气孔可在涂层过程中被塞紧或遮盖。
可在加工过程暴露在等离子体中的多晶硅刻蚀反应器的其它组件也可依照本发明涂覆液晶聚合物。这些组件包括室壁,室内衬,静电卡盘和与衬底相对的介电窗。在静电卡盘上表面附以液晶聚合物的涂层,可在清洗周期中对卡盘提供附加保护,其中清洗周期中没有晶片存在且卡盘上表面直接暴露在等离子体中。
本发明的反应器组件也可用于高密度氧化物刻蚀加工。典型的氧化物刻蚀反应器室为TCP9100TM等离子刻蚀反应器,其可从LAMResearch Corporation of Fremont,California得到。在TCP9100TM反应器中,气体分配盘是置于TCPTM窗正下方的圆盘,该TCPTM窗也是在反应器顶部在半导体衬底上方与其平行的平面中的真空密封面。气体分配盘用O形环与气体分配环密封,其置于气体分配盘周边。气体分配环从供应源输入气体的体积由气体分配盘,向反应器提供射频能量的扁螺旋形的天线下方的窗口内表面和气体分配环限定。气体分配盘包含贯穿盘的有规定直径的孔隙的阵列。贯穿气体分配盘孔隙的空间分布可变动以使被刻蚀层,如光阻层,二氧化硅层,和晶片下面的材料刻蚀一致性最佳。气体分配盘横截面的形状可改变以控制进入反应器等离子体中的射频能量分布。气体分配盘材料必须是介电的,以能耦合穿过气体分配盘进入反应器的射频能量。此外,需要气体分配盘材料在氧气或碳氟化氢气体离子体环境中对化学溅射刻蚀的高抵抗性,以避免破碎和与此相关的所产生的颗粒。
图3表示了上述类型的等离子体反应器。反应器包括反应室10,其包括衬底座12,衬底座12又包括静电卡盘34,其提供衬底13夹持力和衬底的RF偏置。衬底可采用热交换气体如氦气背冷。聚焦环14包括介电外环和内环,其限制了衬底上部区域的等离子体。在室内维持高密度(如1011-1012离子/cm3)等离子体的能量源,例如由提供高密度等离子体的合适射频源驱动的天线18位于反应室10顶部。室包括合适的真空泵设备,以维持室内在所需的气压(如低于50mTorr,典型为1-20mTorr)。
基本上为平面均一厚度的介电窗20配置于天线18和加工室10内部之间,形成在加工室10顶部的真空壁。气体分配盘22配置于窗20下方,其包含如圆孔的开口以从气体供应源23向室10传输工作气体。圆锥形内衬30从气体分配盘延伸并包围衬底座12。天线18可配以通道24,控温液体通过进口出口25,26流过通道24。然而,天线18和/或窗20无需冷却或通过其它技术冷却,例如对天线和窗送风,流过冷却介质或与窗和/或气体分配盘等有热传导接触。
在操作中,半导体衬底如硅晶片置于衬底座12上,并用静电卡盘固定在合适位置。但是也可用其它夹持方法,如机械夹持机构。此外,也可采用氦气背冷以促进衬底和卡盘之间的热交换。工作气体随后经窗20和气体分配盘22之间间隙通入真空加工室10。合适的气体分配盘布置(即喷头)公开于共同所有的美国专利Nos.5,824,605;6,048,798,和5,863,376。通过向天线18提供合适的射频能量,在衬底和窗之间区域激发高密度等离子体。
在图3,所示的反应器组件内表面,如气体分配盘22,室内衬30,静电卡盘34和聚焦环14涂覆一层液晶聚合物涂层32。然而,在本发明中,任何或所有这些表面可依照本发明附以一层液晶聚合物涂层。
以上描述的高密度多晶硅和介电刻蚀室只是典型的可引入本发明组件的等离子体刻蚀反应器。本发明的液晶聚合物组件可用于任何刻蚀反应器(如金属刻蚀反应器)或其它类型有等离子腐蚀问题的半导体加工设备。
其它组件也可附以液晶聚合物涂层,包括室壁(典型由具有阳极化或未阳极化处理表面的铝制成),衬底座,紧固件等等。这些部件典型由金属(如铝)或陶瓷(如氧化铝)制成。这些等离子体反应器组件通常暴露在等离子体中并往往表现出腐蚀的迹象。其它依照本发明可涂覆的部件可能不直接暴露在等离子体中,而是暴露在腐蚀性气体中,如从加工晶片中释放的气体等。因此,用于加工半导体晶片的其它设备也可依照本发明附以液晶聚合物层。这些设备包括传送机构,气体供应系统,内衬,提升机构,负载锁,室门机构,机械臂,紧固件等。
依照本发明可涂覆液晶聚合物的金属和/或合金的例子包括铝,不锈钢,难熔金属,如“HAYNES 242”“Al-6061”,“SS304”,“SS316”。由于液晶聚合物在组件上形成抗腐蚀涂层,其下组件不再直接暴露在等离子体中,并且铝合金的使用无需考虑合金添加剂,晶粒结构或表面条件。此外,多种陶瓷和聚合物材料也可依照本发明涂覆液晶聚合物。特别是,反应器组件可由陶瓷材料如氧化铝(Al2O3),碳化硅(SiC),氮化硅(Si3N4),碳化硼(B4C)和/或氮化硼(BN)制成。
如果需要,可在液晶聚合物涂层和组件表面之间附以一层或多层中间层材料。
尽管等离子喷涂是提供具有液晶聚合物层组件的优选方法,也可采用其它方法。如液晶聚合物可通过常规热塑加工技术如注射成型或挤压成形制成薄板。这些预制的薄板可塑造成所需形状并用于覆盖多种暴露在等离子体中的反应器组件表面。当液晶聚合物以预制薄板附在反应器组件表面时,薄板可通过任何已知方法包括胶接技术或使用机械紧固件附着。当使用紧固件时,如果夹具本身暴露于等离子体中,也应由抗腐蚀材料如液晶聚合物制成。此外,可设计液晶聚合物涂层与下面反应器组件互锁。
在本发明另一个实施方案中,液晶聚合物也可采用已知技术熔融或溶液涂覆到反应器组件的表面。
在另一个实施方案中,通过从一块液晶聚合物材料加工出组件或由液晶聚合物材料成形组件(如注射成型),反应器组件可完全由液晶聚合物制成。
依照本发明液晶聚合物可包括一个或多个填料,如玻璃和矿物填料。填料的选择应基于其对等离子环境的适应性。在使用中,液晶聚合物会被腐蚀而直接暴露于等离子体的填料。因此填料应不含重金属或引起在室内加工的衬底受污染的其它组分。
虽然本发明参考其具体实施方案详细说明,本领域技术人员将明白在不脱离附加权利要求的范围下,可作的多种变动和调整并等同的使用。

Claims (20)

1.半导体加工设备的组件,该组件包括具有表面的衬底以及在衬底表面上并形成组件外表面的液晶聚合物,该外表面耐等离子体刻蚀且耐半导体加工设备中的腐蚀,其中该组件是等离子体室壁,室内衬,气体分配盘,气环,基座,静电卡盘和/或聚焦环。
2.依照权利要求1的组件,其中衬底包括铝或铝合金。
3.依照权利要求1的组件,其中衬底包括氧化铝。
4.依照权利要求2的组件,其中衬底包括阳极化或未阳极化的表面。
5.依照权利要求1的组件,其中液晶聚合物包括等离子喷涂涂层。
6.依照权利要求1的组件,其中液晶聚合物涂层包括覆盖衬底表面的预制薄板。
7.依照权利要求5的组件,其中组件包括与等离子喷涂涂层接触的粗糙表面。
8.依照权利要求1的组件,其中液晶聚合物包含填料。
9.包括至少一个依照权利要求1的组件的等离子室。
10.制造半导体加工设备组件的方法,该组件包括具有表面的衬底,该方法包括在衬底的表面上提供液晶聚合物涂层,其中所述液晶聚合物形成组件的外表面,其中组件是等离子体室壁,室内衬,气体分配盘,气环,基座,静电卡盘和/或聚焦环。
11.依照权利要求10的方法,其中液晶聚合物涂层是等离子喷涂到组件表面上。
12.依照权利要求10的方法,其中组件包括由氧化铝制成的陶瓷部件。
13.依照权利要求10的方法,其中液晶聚合物为预制薄板,该方法包括用预制薄板覆盖组件表面。
14.依照权利要求11的方法,进一步包括在沉积液晶聚合物涂层前使组件表面经受表面粗糙化处理。
15.依照权利要求10的方法,其中组件包括由具有阳极化或未阳极化表面的铝或铝合金制成的金属部件。
16.在权利要求9的等离子室中加工半导体衬底的方法,其中将衬底移至室内且用等离子体处理衬底的暴露表面。
17.权利要求16的方法,其中衬底表面用等离子体刻蚀。
18.权利要求17的方法,其中等离子体是高密度等离子体。
19.权利要求16的方法,进一步包括以下步骤:
置衬底于室中的衬底座上;
向室中通入工作气体;
对平面天线施加射频能量,并从天线感应耦合射频能量至室内,以激发工作气体并在衬底暴露的表面附近产生等离子体;和
用等离子体刻蚀暴露的衬底表面。
20.权利要求19的方法,其中组件是气体分配盘或环,该方法进一步包括经气体分配盘或环上的开口向室中通入工作气体。
CNB018224520A 2000-12-29 2001-11-21 半导体加工设备的抗腐蚀组件及其制造方法 Expired - Lifetime CN100434196C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/749,921 2000-12-29
US09/749,921 US7128804B2 (en) 2000-12-29 2000-12-29 Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof

Publications (2)

Publication Number Publication Date
CN1487858A CN1487858A (zh) 2004-04-07
CN100434196C true CN100434196C (zh) 2008-11-19

Family

ID=25015771

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018224520A Expired - Lifetime CN100434196C (zh) 2000-12-29 2001-11-21 半导体加工设备的抗腐蚀组件及其制造方法

Country Status (9)

Country Link
US (3) US7128804B2 (zh)
EP (1) EP1345703B1 (zh)
JP (1) JP2004523350A (zh)
KR (2) KR100849866B1 (zh)
CN (1) CN100434196C (zh)
AT (1) ATE456985T1 (zh)
DE (1) DE60141250D1 (zh)
TW (1) TWI313304B (zh)
WO (1) WO2002068129A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
CN102460649B (zh) * 2009-05-13 2015-03-11 应用材料公司 经阳极处理的喷头
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US20110086462A1 (en) * 2009-10-08 2011-04-14 Ovshinsky Stanford R Process for Manufacturing Solar Cells including Ambient Pressure Plasma Torch Step
US9129795B2 (en) * 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
EP2525387A1 (en) * 2011-05-17 2012-11-21 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
US20130156530A1 (en) * 2011-12-14 2013-06-20 Intermolecular, Inc. Method and apparatus for reducing contamination of substrate
CN103681201B (zh) * 2012-08-30 2016-03-09 宁波江丰电子材料股份有限公司 溅射环及其制造方法
KR101419515B1 (ko) * 2012-09-24 2014-07-15 피에스케이 주식회사 배플 및 배플의 표면처리장치, 그리고 기판 처리 장치 및 표면 처리 방법
JP5958560B2 (ja) * 2013-01-09 2016-08-02 株式会社村田製作所 処理済み液晶ポリマーパウダー、これを含むペーストおよび、それらを用いた液晶ポリマーシート、積層体、ならびに処理済み液晶ポリマーパウダーの製造方法
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
WO2014158767A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc. High purity aluminum top coat on substrate
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9449797B2 (en) * 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
EP3056069A4 (en) * 2013-10-07 2017-06-21 Aeonclad Coatings, LLC Low-cost plasma reactor
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US10524611B2 (en) * 2014-07-03 2020-01-07 B/E Aerospace, Inc. Multi-phase circuit flow-through heater for aerospace beverage maker
US11083329B2 (en) 2014-07-03 2021-08-10 B/E Aerospace, Inc. Multi-phase circuit flow-through heater for aerospace beverage maker
KR101909479B1 (ko) * 2016-10-06 2018-10-19 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
FI129719B (en) * 2019-06-25 2022-07-29 Picosun Oy PLASMA IN SUBSTRATE PROCESSING EQUIPMENT
CN114068273B (zh) * 2020-07-31 2024-04-05 中微半导体设备(上海)股份有限公司 一种零部件及其制备方法和等离子体反应装置
US20230295789A1 (en) * 2022-03-15 2023-09-21 Applied Materials, Inc. Dense vertically segmented silicon coating for low defectivity in high-temperature rapid thermal processing
WO2024097506A1 (en) * 2022-10-31 2024-05-10 Lam Research Corporation Refractory components for a semiconductor processing chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0433778A1 (de) * 1989-12-19 1991-06-26 Bayer Ag Verfahren zur Herstellung thermisch gespritzter Schichten aus gegebenenfalls gefüllten Polyarylensulfiden
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
EP0845545A1 (en) * 1996-11-26 1998-06-03 Applied Materials, Inc. Coated deposition chamber equipment

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
US4736087A (en) * 1987-01-12 1988-04-05 Olin Corporation Plasma stripper with multiple contact point cathode
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5296542A (en) * 1988-10-11 1994-03-22 Amoco Corporation Heat resistant polymers and blends of hydroquinone poly (isoterephthalates) containing residues of p-hydroxybenzoic acid
JPH03501748A (ja) * 1988-10-11 1991-04-18 アモコ・コーポレーシヨン ヒドロキノンポリ(イソ‐テレフタレート)p‐ヒドロキシ安息香酸ポリマーの液晶ポリマー、並びにオキシビスベンゼン及びナフタレン誘導体を含むもう一つのLCPのブレンド
SG30586G (en) 1989-03-28 1995-09-18 Nippon Steel Corp Resin-coated bonding wire method of producing the same and semiconductor device
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5113159A (en) 1990-02-22 1992-05-12 At&T Bell Laboratories Communications transmission system including facilities for suppressing electromagnetic interference
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US5196471A (en) * 1990-11-19 1993-03-23 Sulzer Plasma Technik, Inc. Thermal spray powders for abradable coatings, abradable coatings containing solid lubricants and methods of fabricating abradable coatings
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5248530A (en) 1991-11-27 1993-09-28 Hoechst Celanese Corp. Heat sealable coextruded lcp film
US5413058A (en) 1992-12-09 1995-05-09 Hirose Manufacturing Co., Ltd. Inner bobbin case holder of a fully rotating hook
US5522932A (en) * 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
US5397502A (en) * 1993-06-10 1995-03-14 E. I. Du Pont De Nemours And Company Heat resistant liquid crsytalline polymers
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
EP0760526A4 (en) * 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
US5606485A (en) * 1994-07-18 1997-02-25 Applied Materials, Inc. Electrostatic chuck having improved erosion resistance
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
CN1074006C (zh) 1995-10-13 2001-10-31 陶氏化学公司 涂覆的塑料基材
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
JPH09298190A (ja) 1996-05-02 1997-11-18 Iwaki Coating Kogyo:Kk ドライエッチング装置用電極の製造方法
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JP3972347B2 (ja) 1997-03-28 2007-09-05 Jsr株式会社 液状硬化性樹脂組成物
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5939153A (en) 1997-11-13 1999-08-17 The Elizabeth And Sandor Valyi Foundation, Inc. Multilayered plastic container
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
JP3483494B2 (ja) 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
JP3748712B2 (ja) 1998-05-29 2006-02-22 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー ライナー用樹脂成形体
US6703092B1 (en) 1998-05-29 2004-03-09 E.I. Du Pont De Nemours And Company Resin molded article for chamber liner
JP3190886B2 (ja) * 1998-06-17 2001-07-23 日本電気株式会社 高分子膜の成長方法
JP2000100781A (ja) 1998-09-18 2000-04-07 Miyazaki Oki Electric Co Ltd エッチング装置および半導体デバイスの製造方法
US6468665B1 (en) * 1998-12-16 2002-10-22 Sumitomo Chemical Company, Limited Process for melt-bonding molded article of liquid crystalline polyester with metal
US6048919A (en) * 1999-01-29 2000-04-11 Chip Coolers, Inc. Thermally conductive composite material
US6120854A (en) * 1999-02-19 2000-09-19 Northrop Grumman Liquid crystal polymer coating process
JP4193268B2 (ja) * 1999-02-26 2008-12-10 ソニー株式会社 薄膜形成装置および薄膜形成方法ならびに案内ガイドロール
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US20020036881A1 (en) * 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6372299B1 (en) 1999-09-28 2002-04-16 General Electric Company Method for improving the oxidation-resistance of metal substrates coated with thermal barrier coatings
US6294261B1 (en) 1999-10-01 2001-09-25 General Electric Company Method for smoothing the surface of a protective coating
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0433778A1 (de) * 1989-12-19 1991-06-26 Bayer Ag Verfahren zur Herstellung thermisch gespritzter Schichten aus gegebenenfalls gefüllten Polyarylensulfiden
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
EP0845545A1 (en) * 1996-11-26 1998-06-03 Applied Materials, Inc. Coated deposition chamber equipment

Also Published As

Publication number Publication date
JP2004523350A (ja) 2004-08-05
US20100003826A1 (en) 2010-01-07
EP1345703B1 (en) 2010-02-03
KR20030066785A (ko) 2003-08-09
US7605086B2 (en) 2009-10-20
US20020086545A1 (en) 2002-07-04
ATE456985T1 (de) 2010-02-15
EP1345703A1 (en) 2003-09-24
DE60141250D1 (de) 2010-03-25
KR20080052687A (ko) 2008-06-11
TWI313304B (en) 2009-08-11
KR100849866B1 (ko) 2008-08-01
US20070012657A1 (en) 2007-01-18
US8486841B2 (en) 2013-07-16
CN1487858A (zh) 2004-04-07
WO2002068129A1 (en) 2002-09-06
US7128804B2 (en) 2006-10-31

Similar Documents

Publication Publication Date Title
CN100434196C (zh) 半导体加工设备的抗腐蚀组件及其制造方法
US6830622B2 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US7255898B2 (en) Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6780787B2 (en) Low contamination components for semiconductor processing apparatus and methods for making components

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20081119

CX01 Expiry of patent term