KR20120027237A - 웨이퍼 가접합 및 분리를 위한 개선된 장치 - Google Patents

웨이퍼 가접합 및 분리를 위한 개선된 장치 Download PDF

Info

Publication number
KR20120027237A
KR20120027237A KR1020117027248A KR20117027248A KR20120027237A KR 20120027237 A KR20120027237 A KR 20120027237A KR 1020117027248 A KR1020117027248 A KR 1020117027248A KR 20117027248 A KR20117027248 A KR 20117027248A KR 20120027237 A KR20120027237 A KR 20120027237A
Authority
KR
South Korea
Prior art keywords
wafer
chuck
wafers
adhesive layer
plate
Prior art date
Application number
KR1020117027248A
Other languages
English (en)
Inventor
그레고리 죠지
헤일 존슨
패트릭 고룬
엠멧 휴그렛
제임스 헤르만오우스키
매튜 스틸레스
마이클 쿤레
데니스 파트리시오
Original Assignee
수스 마이크로텍 리소그라피 게엠바하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 수스 마이크로텍 리소그라피 게엠바하 filed Critical 수스 마이크로텍 리소그라피 게엠바하
Publication of KR20120027237A publication Critical patent/KR20120027237A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B43/00Operations specially adapted for layered products and not otherwise provided for, e.g. repairing; Apparatus therefor
    • B32B43/006Delaminating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67132Apparatus for placing on an insulating substrate, e.g. tape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • B32B2309/105Thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/14Semiconductor wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/18Handling of layers or the laminate
    • B32B38/1858Handling of layers or the laminate using vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/918Delaminating processes adapted for specified product, e.g. delaminating medical specimen slide
    • Y10S156/93Semiconductive product delaminating, e.g. delaminating emiconductive wafer from underlayer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/918Delaminating processes adapted for specified product, e.g. delaminating medical specimen slide
    • Y10S156/93Semiconductive product delaminating, e.g. delaminating emiconductive wafer from underlayer
    • Y10S156/931Peeling away backing
    • Y10S156/932Peeling away backing with poking during delaminating, e.g. jabbing release sheet backing to remove wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/934Apparatus having delaminating means adapted for delaminating a specified article
    • Y10S156/941Means for delaminating semiconductive product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/934Apparatus having delaminating means adapted for delaminating a specified article
    • Y10S156/941Means for delaminating semiconductive product
    • Y10S156/942Means for delaminating semiconductive product with reorientation means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/934Apparatus having delaminating means adapted for delaminating a specified article
    • Y10S156/941Means for delaminating semiconductive product
    • Y10S156/943Means for delaminating semiconductive product with poking delaminating means, e.g. jabbing means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1126Using direct fluid current against work during delaminating
    • Y10T156/1132Using vacuum directly against work during delaminating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1153Temperature change for delamination [e.g., heating during delaminating, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1153Temperature change for delamination [e.g., heating during delaminating, etc.]
    • Y10T156/1158Electromagnetic radiation applied to work for delamination [e.g., microwave, uv, ir, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1168Gripping and pulling work apart during delaminating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1168Gripping and pulling work apart during delaminating
    • Y10T156/1189Gripping and pulling work apart during delaminating with shearing during delaminating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1911Heating or cooling delaminating means [e.g., melting means, freezing means, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1911Heating or cooling delaminating means [e.g., melting means, freezing means, etc.]
    • Y10T156/1917Electromagnetic radiation delaminating means [e.g., microwave, uv, ir, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1928Differential fluid pressure delaminating means
    • Y10T156/1944Vacuum delaminating means [e.g., vacuum chamber, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1961Severing delaminating means [e.g., chisel, etc.]
    • Y10T156/1967Cutting delaminating means
    • Y10T156/1972Shearing delaminating means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/19Delaminating means
    • Y10T156/1978Delaminating bending means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/18Pivoted jaw
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/21Chucks or sockets with measuring, indicating or control means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/26Chucks or sockets with centering means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/29More than one set of gripping means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49815Disassembling
    • Y10T29/49817Disassembling with other than ancillary treating or assembling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53274Means to disassemble electrical device

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Press Drives And Press Lines (AREA)

Abstract

웨이퍼 가접합을 위한 개선된 장치는 가접합기 클러스터와 분리기 클러스터를 포함한다. 가접합기 클러스터는 접착 레이어 접합, 접착 레이어와 이형 레이어 접합의 조합 및 UV 광경화 접착 레이어와 레이저 흡수성 이형 레이어 접합의 조합을 포함하는 전자 웨이퍼 접합 프로세스를 행하는 가접합기 모듈을 포함한다. 상기 분리기 클러스터는 서멀 슬라이드 분리기, 기계적 분리기 및 래디에이션 분리기를 포함한다.

Description

웨이퍼 가접합 및 분리를 위한 개선된 장치{IMPROVED APPARATUS FOR TEMPORARY WAFER BONDING AND DEBONDING}
본 발명은, "웨이퍼 가접합을 위한 개선된 장치(IMPROVED APPARATUS FOR TEMPORARY WAFER BONDING)"라는 발명의 명칭으로 2009년 4월 16일 출원된 미국 가출원 제61/169,753호에 대한 우선권을 주장하며, 상기 미국 가출원의 내용은 본원에 참조로서 원용된다.
본 발명은 반도체 웨이퍼 가접합 및 분리를 위한 개선된 장치에 관한 것으로, 보다 상세하게는 다양한 웨이퍼 가접합 및 분리 능력을 포함하는 산업적 규모의 웨이퍼 가접합 장치에 관한 것이다.
많은 반도체 웨이퍼 프로세스가 웨이퍼 박화(wafer thinning) 스텝을 포함한다. 일부의 애플리케이션에 있어서는, 집적 회로(IC) 디바이스의 제조를 위해 100 마이크로미터 미만의 두께로까지 웨이퍼를 박화시킨다. 얇은 웨이퍼는, 제조되는 IC 디바이스의 개선된 열 제거 및 양호한 전기적 동작의 이점을 지닌다. 일례에 있어서, 개선된 열 제거를 갖는 파워 CMOS 디바이스를 제조하도록, GaAs 웨이퍼가 25 마이크로미터로 박화된다. 또한, 웨이퍼 박화는 디바이스 커패시턴스의 감소 및 그 임피던스의 증가에도 기여하여, 제조되는 디바이스의 전체 사이즈가 감소된다. 다른 적용에 있어서, 웨이퍼 박화는 3D-집적 접합(3D-Integration bonding) 및 웨이퍼 관통 비아(via)들의 제조를 위해 이용된다.
웨이퍼 박화는 통상 백 그라인딩 및/또는 화학적 기계적 폴리싱(CMP)을 거쳐 행해진다. CMP는, 액체 슬러리의 존재 하에서, 웨이퍼 표면을 경질의 평탄한 회전하는 수평 플래터와 접촉시키는 일을 수반한다. 통상적으로, 슬러리는, 암모니아, 불소 또는 이들의 조합과 같은 화학적 에천트(etchant)와 함께, 다이아몬드나 실리콘 카바이드와 같은 연마 파우더를 함유한다. 연마제는 기판을 얇게 만들고, 에천트는 기판 표면을 1 미크론 미만의 수준으로 폴리싱한다. 웨이퍼는, 목표하는 두께를 얻기 위해서, 소정량의 기판이 제거될 때까지 연마제와의 접촉이 유지된다.
200 마이크로미터를 넘는 웨이퍼 두께에 대해, 통상 웨이퍼는 진공 척 또는 다른 기계적 부착 수단을 이용하는 고정 장치에 의해 제 위치에 유지된다. 그러나, 200 마이크로미터 미만의 웨이퍼 두께에 대해서, 특히 100 마이크로미터 미만의 웨이퍼에 대해서는, 그 웨이퍼를 기계적으로 보유(holding)하고 박화 동안에 당해 웨이퍼의 평탄도 및 일체성의 제어를 유지하기가 점증적으로 어렵게 된다. 이러한 경우들에 있어서는, 웨이퍼에 미세 균열이 진행되어 CMP 중에 깨져버리는 일이 실제적으로 흔하다.
박화 중 웨이퍼의 기계적 보유에 대한 대안은, 캐리어 웨이퍼 위에 디바이스 웨이퍼(즉, 디바이스로 가공되는 웨이퍼)의 제1 표면을 부착시키고 노출된 반대편 디바이스 웨이퍼 표면을 박화하는 것을 포함한다. 캐리어 웨이퍼와 디바이스 웨이퍼간의 접합은 일시적인 것이며, 박화의 완료 및 다른 임의의 프로세싱 스텝 후 제거된다.
프로세싱 후에 화학적으로 용해되는 접착성 화합물을 사용하거나 프로세싱 후에 열 분해되거나 래디에이션 분해되는 접착성 테이프 또는 레이어를 사용하는 것을 포함하는, 여러 가지 가접합 기술이 제안되어 왔다. 이들 기술들의 대다수는 특정 디바이스 웨이퍼 및 캐리어 웨이퍼에 대한 것이며, 전용화된 장비를 필요로 한다. 서로 다른 유형의 디바이스 웨이퍼/캐리어 웨이퍼 조합을 가공하는데 상기 언급한 가접합 기술 중 하나 이상을 적용할 수 있는 장치를 제공하는 것이 요구된다.
일반적으로, 일 양태에 있어서, 본 발명은 가접합기 모듈의 클러스터 및 분리기 모듈의 클러스터를 포함하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치의 특징을 그리고 있다. 가접합기 모듈의 클러스터는 접착 레이어 접합, 접착 레이어와 이형 레이어 접합의 조합 또는 UV 광경화 접착 레이어와 레이저 흡수성 이형 레이어 접합의 조합 중의 적어도 하나를 포함하는 전자 웨이퍼 접합 프로세스를 행하도록 구성된다. 분리기 모듈의 클러스터는 상기 가접합기 모듈에 의해 수행되는 상기 전자 웨이퍼 접합 프로세스를 통해 접합된 전자 웨이퍼를 분리하는 분리 프로세스를 수행하도록 구성되며, 상기 분리 프로세스는 서멀 슬라이드 분리기, 기계적 분리기 또는 래디에이션 분리기 중의 적어도 하나를 포함한다.
일반적으로, 다른 양태에 있어서, 본 발명은 가접합기 모듈의 클러스터 및 분리기 모듈의 클러스터를 포함하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치의 특징을 그리고 있다. 가접합기 모듈의 클러스터는 접착 레이어를 통해 두 웨이퍼 표면 간의 가접합을 형성하기 위한 장비를 포함하는 제1 접합기 모듈과, 접착 레이어와 이형 레이어의 조합을 통해 두 웨이퍼 표면간의 가접합을 형성하기 위한 장비를 포함하는 제2 접합기 모듈을 포함한다. 분리기 모듈의 클러스터는 서멀 슬라이드 분리기 모듈과 기계적 분리기를 포함한다. 서멀 슬라이드 분리기 모듈은 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 장비를 포함한다. 서멀 슬라이드 분리기 장비는 접합된 두 웨이퍼를 가열하기 위한 수단, 및 열이 가해지는 동안 일방의 웨이퍼를 타방의 웨이퍼에 대해 슬라이딩시키는 수단을 포함한다. 기계적 분리기 모듈은 접착 레이어와 이형 레이어의 조합을 통해 가접합된 두 웨이퍼를 분리하기 위한 장비를 포함한다. 기계적 분리기 모듈 장비는 접합된 두 웨이퍼를 가열하기 위한 수단, 및 열이 가해지는 동안에 일방의 웨이퍼를 타방의 웨이퍼로부터 떨어지게 기계적으로 압박하는 수단을 포함한다.
본 발명의 이 양태의 실시는 다음 특징들 중 하나 이상을 포함할 수 있다. 상기 가접합기 모듈의 클러스터는, UV 광경화 접착 레이어와 레이저 흡수성 이형 레이어의 조합을 통해 두 웨이퍼 표면간의 가접합을 형성하기 위한 장비를 포함하는 제3 접합기 모듈을 더 포함한다. 상기 분리기 모듈의 클러스터는, UV 광경화 접착 레이어와 레이저 흡수성 이형 레이어의 조합을 통해 가접합된 두 웨이퍼를 분리하기 위한 장비를 포함하는 래디에이션 분리기 모듈을 더 포함한다. 상기 래디에이션 분리기 장비는 상기 접합된 두 웨이퍼에 레이저 조사를 적용하기 위한 수단 및 일방의 웨이퍼를 타방의 웨이퍼로부터 기계적으로 분리하기 위한 수단을 포함한다. 상기 가접합기 모듈 및 분리기 모듈은 수직으로 적층된다. 상기 제1 접합기 모듈 장비는 캐리어 웨이퍼의 표면에 상기 접착 레이어를 도포하기 위한 수단과, 상기 도포된 접착 레이어를 베이크하기 위한 수단 및 냉각하기 위한 수단과, 디바이스 웨이퍼의 표면에 보호 레이어를 도포하기 위한 수단과, 상기 도포된 보호 레이어를 베이크하기 위한 수단 및 냉각하기 위한 수단과, 상기 접착 레이어가 상기 보호 레이어와 대향하도록 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼를 배향하고 정렬하는 수단과, 정렬된 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼와 접촉시킴으로써 적층된 웨이퍼 쌍을 형성하기 위한 수단과, 상기 적층된 웨이퍼 쌍에 대해 힘을 가하기 위한 수단과, 힘이 가해지는 동안 상기 적층된 웨이퍼 쌍을 가열함으로써, 접합된 웨이퍼 쌍을 형성하기 위한 수단을 포함한다. 상기 제2 접합기 모듈 장비는 디바이스 웨이퍼의 표면에 이형 레이어를 형성하기 위한 수단 및 상기 형성된 이형 레이어 위에 제1 접착 레이어를 도포하기 위한 수단과, 캐리어 웨이퍼의 표면에 제2 접착 레이어를 도포하기 위한 수단과, 상기 제2 접착 레이어가 상기 제1 접착 레이어와 대향하도록 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼를 배향하고 정렬하는 수단과, 정렬된 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼와 접촉시킴으로써 적층된 웨이퍼 쌍을 형성하기 위한 수단과, 상기 적층된 웨이퍼 쌍에 대해 힘을 가하기 위한 수단과, 힘이 가해지는 동안 상기 적층된 웨이퍼 쌍을 가열함으로써, 접합된 웨이퍼 쌍을 형성하기 위한 수단을 포함한다. 상기 제3 접합기 모듈 장비는 디바이스 웨이퍼의 표면에 UV 광경화 접착 레이어를 도포하는 수단과, 캐리어 웨이퍼의 표면에 레이저 흡수성 이형 레이어를 도포하는 수단과, 상기 레이저 흡수성 이형 레이어가 상기 UV 광경화 접착 레이어와 대향하도록 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼를 배향하고 정렬하는 수단과, 정렬된 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼와 접촉시킴으로써 적층된 웨이퍼 쌍을 형성하기 위한 수단과, 상기 적층된 웨이퍼 쌍에 대해 힘을 가하기 위한 수단 및 힘이 가해지는 동안 상기 적층된 웨이퍼 쌍에 UV 광을 적용함으로써, 접합된 웨이퍼 쌍을 형성하기 위한 수단을 포함한다. 상기 접합기 모듈 중 임의의 하나는 상부 블럭 조립체와, 상기 상부 블럭 조립체 아래에 배치되며 상기 상부 블럭 조립체와 대향하는 하부 블럭 조립체와, 상기 상부 및 하부 블럭 조립체 사이에 배치되며, 상기 상부 블럭 조립체와 상기 하부 블럭 조립체 사이의 체적을 둘러싸서 시일링하는 텔레스코핑 커튼을 포함한다. 상기 시일링된 체적은 상기 접합기 모듈 장비를 포함하는 가접합 챔버를 규정한다. 또한, 상기 접합기 모듈은 상기 가접합 챔버를 소기하기 위한 수단과, 상기 가접합 챔버 내로 가스를 공급하기 위한 수단을 포함한다. 상기 접합기 모듈은 2 이상의 Z-가이드 포스트를 더 포함한다. 상기 상부 및 하부 블럭 조립체는 상기 Z-가이드 포스트에 가동적으로 연결된다. 상기 하부 블럭 조립체는 상면 및 하면을 갖는 히터 플레이트를 포함하며, 상기 히터 플레이트 상면은 제1 웨이퍼를 지지하고 가열하도록 구성되어 있다. 또한, 상기 하부 블럭 조립체는 상면 및 하면을 갖는 인슐레이션 레이어를 포함하며, 상기 인슐레이션 레이어 상면은 상기 히터 플레이트 하면과 접촉한다. 또한, 상기 하부 블럭 조립체는 상면 및 하면을 갖는 냉각형 지지 플랜지를 포함하며, 상기 냉각형 지지 플랜지 상면은 상기 인슐레이션 레이어 하면과 접촉한다. 또한, 상기 하부 블럭 조립체는 상기 냉각형 지지 플랜지 아래에 배치되고, 상기 냉각형 지지 플랜지, 상기 인슐레이션 레이어 및 상기 히터 플레이트를 통과하는 3개 이상의 트랜스퍼 핀을 지지하며, 상기 제1 웨이퍼를 승강하도록 구성되는 트랜스퍼 핀 스테이지를 포함한다. 또한, 상기 하부 블럭 조립체는 서브미크론 위치 제어를 위한 정밀 Z-드라이브 및 선형 인코더 피드백을 포함하는 Z-축 블럭 드라이브로서, 상기 하부 블럭 조립체를 Z-방향으로 상하 운동시키도록 구성되는 Z-축 블럭 드리이브를 포함한다. 상기 히터 플레이트는 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 가열하도록 구성된 2개의 독립 제어되는 동심축 가열 구역을 포함한다. 상기 히터 플레이트는 상기 히터 플레이트 상면 위에 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 보유하도록 구성된 2개의 독립 제어되는 동심축 진공 구역을 더 포함한다. 상기 상부 블럭 조립체는 제2 웨이퍼를 보유하도록 구성되는 상부 세라믹 척과, 상기 텔레스코핑 커튼이 시일링 요소에 의해 시일을 형성하는 스태틱 챔버벽과, 제각기 200 및 300㎜의 직경을 가지며 상기 상부 척과 상부 하우징 벽 사이에 클램핑되는 제1 및 제2 동심축 멤브레인 레이어와, 상기 상부 하우징 벽에 대해 상기 상부 세라믹 척을 레벨링하고 클램핑하도록 구성된 3개 이상의 조절가능한 레벨링 클램프/드라이브 조립체를 포함한다. 제1 및 제2 멤브레인 레이어는 제각기 200 및 300㎜ 직경을 갖는 웨이퍼를 보유하도록 설계된 별개의 제1 및 제2 진공 구역을 형성한다. 상기 상부 세라믹 척은 고도로 평탄하고 얇은 반연성 세라믹 플레이트를 포함한다. 상기 멤브레인 레이어는 탄성 중합체(elastomeric) 재료 또는 금속 벨로우즈를 포함한다. 상기 클램프/드라이브 조립체는, 변환 없이, 보유된 상기 제2 웨이퍼의 중심에 대응하는 중심점을 중심으로 상기 상부 세라믹 척을 회전 및/또는 틸팅하는 웨지 에러 보상(wedge error compensation) 메커니즘을 더 포함한다. 상기 장치는 상기 접합기 모듈 중 임의의 하나에 상기 제1 및 제2 웨이퍼를 초기 정렬, 로딩 및 언로딩하도록 구성된 기계적 센터링 장치를 더 포함한다. 상기 기계적 센터링 장치는 2개의 초기 정렬 암과 고정 조(jaw)를 포함한다. 각각의 초기 정렬 암은 그 제1 단부에 기계적 조를 포함하며, 상기 기계적 조는 상기 제1 및 제2 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공 표면을 포함한다. 상기 고정 조는 상기 제1 및 제2 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공 표면을 구비한다.
일반적으로, 다른 양태에 있어서, 본 발명은 이하의 단계를 포함하는 2개의 웨이퍼 표면을 가접합하기 위한 방법의 특징을 그리고 있다. 우선, 서로 반대쪽을 향하는 제1 및 제2 웨이퍼 표면을 포함하는 제1 웨이퍼를 제공한다. 다음으로, 서로 반대쪽을 향하는 제1 및 제2 웨이퍼 표면을 포함하는 제2 웨이퍼를 제공한다. 다음으로, 상기 제2 웨이퍼의 상기 제1 표면 위에 접착 레이어를 도포한다. 다음으로, 상부 블럭 조립체와, 상기 상부 블럭 조립체 아래에 배치되며 상기 상부 블럭 조립체와 대향하는 하부 블럭 조립체와, 상기 상부 및 하부 블럭 조립체 사이에 배치되며, 상기 상부 블럭 조립체와 상기 하부 블럭 조립체 사이의 체적을 둘러싸서 시일링하는 텔레스코핑 커튼으로서, 상기 시일링된 체적은 가접합 챔버를 규정하는 텔레스코핑 커튼과, 상기 가접합 챔버를 소기하기 위한 수단과, 상기 가접합 챔버 내로 가스를 공급하기 위한 수단을 포함하는 접합기 모듈을 제공한다. 다음으로, 상기 접합기 모듈 내로 상기 제1 웨이퍼를 삽입하고 상기 제1 웨이퍼의 제1 표면이 아래를 향하도록 상기 상부 블럭 조립체에 의해 상기 제1 웨이퍼를 보유한다. 다음으로, 상기 접합기 모듈 내로 상기 제2 웨이퍼를 삽입하고 상기 접착 레이어가 상기 제1 웨이퍼의 제1 표면과 대향하도록 상기 하부 블럭 조립체 위에 상기 제2 웨이퍼를 배치한다. 다음으로, 상기 제1 웨이퍼의 제1 표면이 상기 제2 웨이퍼의 상기 접착 레이어와 대향하고 또한 평행하도록 상기 제1 및 제2 웨이퍼를 센터링하고 정렬한다. 다음으로, 상기 하부 블럭 조립체를 상방으로 이동하여 상기 접착 레이어와 상기 제1 웨이퍼의 상기 제1 표면 사이에 밀접한 프로세스 간극을 형성한다. 다음으로, 상기 텔레스코핑 커튼을 밀폐하여 상기 제1 및 제2 웨이퍼를 둘러싸는 가접합 챔버를 형성한다. 다음으로, 기계적 조에 의해 상기 제1 웨이퍼가 보유된 상태에서 초기의 깊은 진공 상태로 상기 가접합 챔버를 소기한다. 상기 초기의 깊은 진공 상태에 도달하면, 상기 가접합 챔버 내로 기체를 공급하여 상기 초기의 깊은 진공 상태 위로 상기 가접합 챔버 압력을 약간 상승시키고, 이에 의해 상기 상부 블럭 조립체와 접촉한 상태로 상기 제1 웨이퍼를 보유하는 차압을 생성한다. 다음으로, 상기 하부 블럭 조립체를 상방으로 이동하여 상기 접착 레이어를 상기 제1 웨이퍼의 상기 제1 표면과 접촉시킨다. 다음으로, 상기 접착 레이어의 녹는점 위의 프로세스 온도로 상기 제1 및 제2 웨이퍼를 가열하면서 상기 상부 블럭 조립체를 통해 상기 제1 및 제2 웨이퍼에 힘을 가하여 가접합 웨이퍼 쌍을 형성한다. 다음으로, 접합된 상기 웨이퍼 쌍을 냉각하고 상기 접합기 모듈로부터 언로딩한다.
본 발명의 이 양태의 실시는 다음 특징들 중 하나 이상을 포함할 수 있다. 상기 상부 블럭 조립체는 반연성 척을 포함하고, 상기 힘은 상기 반연성 척을 통해 상기 제1 및 제2 웨이퍼의 접합 계면에 수직하게 가해진다. 상기 상부 블럭은 비연성 척을 포함하고, 상기 방법은 상기 하부 블럭 조립체의 상향 운동을 통해 접합된 상기 웨이퍼 쌍에 있어서의 접착 레이어의 최종 두께를 제어하는 단계를 더 포함한다.
일반적으로, 일 양태에 있어서, 본 발명은 상부 척 조립체와, 하부 척 조립체와, 상기 상부 척 조립체를 지지하는 스태틱 갠트리와, 상기 하부 척 조립체를 지지하는 X-축 캐리지 드라이브와, X-축 드라이브 컨트롤을 포함하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치의 특징을 그리고 있다. 상기 상부 척 조립체는 히터와 웨이퍼 홀더를 포함한다. 상기 X-축 드라이브 컨트롤은 상기 하부 척 조립체를 로딩 구역으로부터 상기 상부 척 조립체 아래의 프로세스 구역으로, 그리고 상기 프로세스 구역으로부터 다시 상기 로딩 구역으로 수평으로 구동한다. 접착 레이어를 통해 디바이스 웨이퍼에 접합된 캐리어 웨이퍼를 포함하는 웨이퍼 쌍이, 상기 디바이스 웨이퍼의 미접합 표면이 상기 하부 조립체와 접촉하도록 배향된 상태로 로딩 구역에서 상기 하부 척 조립체 위에 배치되고, 상기 X-축 캐리지 드라이브에 의해 상기 상부 척 조립체 아래의 상기 프로세스 구역으로 반송되고, 상기 캐리어 웨이퍼의 미접합 표면은 상기 상부 척 조립체와 접촉된 상태로 배치된다. 상기 X-축 드라이브 컨트롤은, 상기 히터에 의해 상기 캐리어 웨이퍼에 열이 가해지고 또한 상기 캐리어 웨이퍼가 상기 웨이퍼 홀더를 통해 상기 상부 척 조립체에 의해 보유된 상태에서, 상기 X-축을 따라 상기 X-축 캐리지 드라이브의 수평 운동을 개시함으로써, 상기 디바이스 웨이퍼가 상기 캐리어 웨이퍼로부터 분리되고 슬라이드되어 멀어지도록 한다.
본 발명의 이 양태의 실시는 다음 특징들 중 하나 이상을 포함할 수 있다. 상기 분리기는 상기 하부 척 조립체 상에 배치된 웨이퍼를 승강하도록 설계된 리프트 핀 조립체를 더 포함한다. 상기 분리기는 상기 X-축 캐리지 드라이브 및 상기 스태틱 갠트리를 지지하는 베이스 플레이트를 더 포함한다. 상기 베이스 플레이트는 허니콤 구조체 및 진동 절연 지지체 또는 화강암 플레이트를 포함한다. 상기 하부 척 조립체는, 낮은 열질량의 세라믹 재료를 포함하며 상기 X-축 캐리지 드라이브 위에서 상기 X-축을 따라 수평으로 슬라이드하도록 설계되고 또한 상기 Z-축을 중심으로 트위스트 운동하도록 설계된 하부 척을 포함한다. 상기 X-축 캐리지 드라이브는 에어 베어링 캐리지 드라이브를 포함한다. 상기 분리기는 상기 X-축을 따른 상기 X-축 캐리지 드라이브의 수평 운동시에, 상기 X-축 캐리지 드라이브를 안내하는 두 개의 평행한 횡방향 캐리지 가이던스 트랙을 더 포함한다. 상기 상부 척 조립체는 상기 스태틱 갠트리에 볼트 고정된 상부 지지 척과, 상기 상부 지지 척의 하부 표면과 접촉하는 히터 지지 플레이트와, 상기 히터 지지 플레이트의 하부 표면과 접촉한 상태의 히터와, 상기 히터와 접촉하는 상부 웨이퍼 플레이트와, 상기 Z-방향으로 상기 상부 웨이퍼 플레이트를 이동시키고 상기 상부 웨이퍼 플레이트를 상기 캐리어 웨이퍼의 미접합 표면과 접촉한 상태로 배치하기 위한 Z-축 드라이브와, 상기 상부 웨이퍼 플레이트를 레벨링하고 상기 상부 웨이퍼 플레이트의 웨지 에러 보상을 제공하기 위한 플레이트 레벨링 시스템을 더 포함한다. 상기 웨이퍼 홀더는 상기 캐리어 웨이퍼를 진공 흡인할 수 있다. 상기 플레이트 레벨링 시스템은 상기 히터를 상기 상부 지지 척에 연결하는 3개의 가이드 축과 3개의 공압 구동형 스플리트 클램프(split clamp)를 포함한다. 상기 히터는 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 가열하도록 구성된 2개의 독립 제어되는 동심축 가열 구역을 포함한다.
일반적으로, 다른 양태에 있어서, 본 발명은 이하의 단계를 포함하는, 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법의 특징을 그리고 있다. 우선, 상부 척 조립체와, 하부 척 조립체와, 상기 상부 척 조립체를 지지하는 스태틱 갠트리와, 상기 하부 척 조립체를 지지하는 X-축 캐리지 드라이브와, 상기 X-축 캐리지 드라이브 및 상기 하부 척 조립체를 로딩 구역으로부터 상기 상부 척 조립체 아래의 프로세스 구역으로 그리고 상기 프로세스 구역으로부터 다시 상기 로딩 구역으로 수평으로 구동하도록 구성되는 X-축 드라이브 컨트롤을 포함하는 접합기를 제공한다. 다음으로, 접착 레이어를 통해 디바이스 웨이퍼에 접합된 캐리어 웨이퍼를 포함하는 웨이퍼 쌍을, 상기 디바이스 웨이퍼의 미접합 표면이 상기 하부 조립체와 접촉하도록 배향된 상태로 로딩 구역에서 상기 하부 척 조립체 위에 로딩한다. 다음으로, 상기 X-축 캐리지 드라이브 및 상기 하부 척 조립체를 상기 상부 척 조립체 아래의 상기 프로세스 구역으로 구동한다. 다음으로, 상기 캐리어 웨이퍼의 미접합 표면을 상기 상부 척 조립체와 접촉된 상태로 배치하고, 상기 상부 척 조립체에 의해 상기 캐리어 웨이퍼를 보유한다. 다음으로, 상기 상부 척 조립체에 포함된 히터에 의해 상기 캐리어 웨이퍼를 가열한다. 최종적으로, 상기 캐리어 웨이퍼에 열이 가해지면서 그리고 상기 캐리어 웨이퍼가 상기 상부 척 조립체에 의해 보유된 상태에서, 상기 X-축 드라이브 컨트롤에 의해 상기 X-축을 따라 상기 X-축 캐리지 드라이브의 수평 운동을 개시함으로써, 상기 디바이스 웨이퍼가 상기 캐리어 웨이퍼로부터 분리되고 슬라이드되어 멀어지도록 한다.
일반적으로, 일 양태에 있어서, 본 발명은 척 조립체, 플렉스 플레이트 조립체 및 접촉 롤러를 포함하는, 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치의 특징을 그리고 있다. 상기 척 조립체는 척 및 상기 척의 상부 표면과 접촉하는 웨이퍼를 보유하도록 구성된 제1 웨이퍼 홀더를 포함한다. 상기 플렉스 플레이트 조립체는 플렉스 플레이트와 상기 플렉스 플레이트의 제1 표면과 접촉하는 웨이퍼를 보유하도록 구성된 제2 웨이퍼 홀더를 포함한다. 상기 플렉스 플레이트는 힌지에 연결된 제1 에지와, 상기 제1 에지와 정반대인 제2 에지를 포함하고, 상기 플렉스 플레이트의 제1 에지는 상기 척의 제1 에지에 인접하여 배치되고, 상기 플렉스 프레이트는 상기 힌지를 중심으로 스윙 운동하도록 그리고 상기 척의 상부 표면 위에 배치되도록 구성된다. 상기 접촉 롤러는 상기 척의 제1 에지와 정반대인 상기 척의 제2 에지에 인접하여 배열된다. 분리 드라이브 모터는 상기 척 상부 표면의 평면에 수직으로 상기 접촉 롤러를 이동시키도록 구성된다. 동작 중에, 디바이스 웨이퍼 위에 스택되고 접착 레이어와 이형 레이어를 통해 상기 디바이스 웨이퍼에 접합된 캐리어 웨이퍼를 포함하는 웨이퍼 쌍이, 상기 디바이스 웨이퍼의 미접합 표면이 상기 척 상부 표면과 접촉하도록, 상기 척 위에 배치된다. 다음으로, 상기 플렉스 플레이트는, 상기 힌지를 중심으로 하여 스윙 운동하고 또한 그 제1 표면이 상기 캐리어 웨이퍼의 미접합 표면과 접촉하도록 상기 하부 척 위에 배치된다. 다음으로, 제각기 상기 제2 및 제1 웨이퍼 홀더를 통해, 상기 캐리어 웨이퍼는 상기 플렉스 플레이트에 의해 보유되고 상기 디바이스 웨이퍼는 상기 척에 보유되는 동안에, 상기 접촉 롤러는, 상기 플렉스 플레이트의 상기 제2 에지와 접촉하여 상기 제2 에지를 압박할 때까지 상방으로 구동된다. 상기 접촉 롤러는 상기 플렉스 플레이트의 상기 제2 에지를 눌러 구부려서 상기 이형 레이어를 따른 상기 웨이퍼 쌍의 박리를 일으킨다.
본 발명의 이 양태의 실시는 다음 특징들 중 하나 이상을 포함할 수 있다. 상기 분리기는 상기 힌지를 구동하는 힌지 모터를 더 포함할 수 있다. 상기 제1 및 제2 홀더는 제각기 상기 척 및 상기 플렉스 플레이트를 통한 진공 흡인을 포함한다. 상기 웨이퍼 쌍은 테이프 프레임을 더 포함하고, 상기 디바이스 웨이퍼는 상기 척을 통한 진공 흡인에 의해 상기 테이프 프레임을 보유함으로써 상기 척에 의해 보유된다. 상기 분리기는 상기 척 조립체, 상기 플렉스 플레이트 조립체 및 상기 힌지를 지지하는 지지 플레이트를 더 포함한다. 상기 분리기는 상기 지지 플레이트, 상기 접촉 롤러, 상기 힌지 모터 및 상기 분리 구동 모터를 지지하는 베이스 플레이트를 더 포함한다. 상기 플렉스 플레이트 조립체는, 상기 플렉스 플레이트의 제1 표면상에 배치된 웨이퍼를 승강하도록 설계된 리프트 핀 조립체를 더 포함한다. 상기 플렉스 플레이트는, 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 보유하도록 구성된 2개의 독립 제어되는 동심축 진공 구역을 더 포함한다. 상기 진공 구역은 O링 또는 석션 컵 중 어느 하나에 의해 시일링된다. 상기 척은 다공의 세라믹 재료로 만들어진 진공 척을 포함한다. 상기 분리기는 상기 플렉스 플레이트의 우발적인 백 스윙을 방지하도록 구성된 안티-백래쉬 기어 드라이브를 더 포함한다.
일반적으로, 다른 양태에 있어서, 본 발명은 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법의 특징을 그리고 있다. 상기 방법은 이하의 단계를 포함한다. 우선, 척 조립체, 플렉스 플레이트 조립체 및 접촉 롤러를 포함하는 분리 장치를 제공한다. 상기 척 조립체는 척 및 상기 척의 상부 표면과 접촉하는 웨이퍼를 보유하도록 구성된 제1 웨이퍼 홀더를 포함한다. 상기 플렉스 플레이트 조립체는 플렉스 플레이트와 상기 플렉스 플레이트의 제1 표면과 접촉하는 웨이퍼를 보유하도록 구성된 제2 웨이퍼 홀더를 포함한다. 상기 플렉스 플레이트는 힌지에 연결된 제1 에지와, 상기 제1 에지와 정반대인 제2 에지를 포함하고, 상기 플렉스 플레이트의 제1 에지는 상기 척의 제1 에지에 인접하여 배치되고, 상기 플렉스 프레이트는 상기 힌지를 중심으로 스윙 운동하도록 그리고 상기 척의 상부 표면 위에 배치되도록 구성된다. 상기 접촉 롤러는 상기 척의 제1 에지와 정반대인 상기 척의 제2 에지에 인접하여 배치된다. 다음으로, 디바이스 웨이퍼 위에 스택되고 접착 레이어와 이형 레이어를 통해 상기 디바이스 웨이퍼에 접합된 캐리어 웨이퍼를 포함하는 웨이퍼 쌍을 제공한다. 다음으로, 상기 디바이스 웨이퍼의 미접합 표면이 상기 척 상부 표면과 접촉하도록 상기 척 위에 상기 웨이퍼 쌍을 배치한다. 다음으로, 상기 플렉스 플레이트를 상기 힌지를 중심으로 하여 스윙 운동시키고, 그 제1 표면이 상기 캐리어 웨이퍼의 미접합 표면과 접촉하도록 상기 하부 척 위에 배치한다. 다음으로, 제각기 상기 제2 및 제1 웨이퍼 홀더를 통해, 상기 캐리어 웨이퍼는 상기 플렉스 플레이트에 의해 보유되고 상기 디바이스 웨이퍼는 상기 척에 의해 보유되는 동안에, 상기 플렉스 플레이트의 상기 제2 에지와 접촉하여 상기 제2 에지를 압박할 때까지 상기 접촉 롤러를 상방으로 구동하다. 마지막으로, 상기 접촉 롤러는 상기 플렉스 플레이트의 상기 제2 에지를 눌러 구부려서 상기 이형 레이어를 따른 상기 웨이퍼 쌍의 박리를 일으킨다.
일반적으로, 일 양태에 있어서, 본 발명은 센터링하려는 원형 웨이퍼를 상부 표면 위에 지지하기 위한 지지 척과, 제1 및 제2 회전 운동가능한 정렬 암과 제3 선형 운동 정렬 암을 포함하는, 원형 웨이퍼를 센터링하기 위한 장치의 특징을 그리고 있다. 상기 제1 및 제2 회전 운동가능한 정렬 암은, 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며 제각기 제1 및 제2 기계적 조를 포함한다. 상기 제1 및 제2 기계적 조는 상기 원형 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공된 만곡된 에지 표면을 포함한다. 상기 제3 선형 운동 정렬 암은 상기 원형 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공된 만곡된 내측 표면을 포함한다. 상기 제1, 제2 및 제3 정렬 암은 서로 120도의 각도로 상기 지지 척 둘레에 배열된다. 동작 중에, 상기 제1 및 제2 기계적 조의 상기 테이퍼 가공된 만곡된 에지 표면들이 제각기 제1 및 제2 페리미터(perimeter) 에리어에서 상기 원형 웨이퍼의 바깥 페리미터에 접촉하도록 상기 지지 척의 중심을 향해 상기 제1 및 제2 정렬 암을 회전시키고 또한 그 테이퍼 가공된 내측 표면이 제3 페리미터 에리어에서 상기 원형 웨이퍼의 바깥 페리미터에 접촉하도록 상기 지지 척의 중심을 향해 상기 제3 정렬 암을 선형 운동시킴으로써, 상기 지지 척 위에 배치된 원형 웨이퍼를 센터링 및 정렬한다. 상기 제1, 제2 및 제3 페리미터 에리어는 서로 120도의 각도만큼 분리되어 있다. 상기 기계적 조들은, 200㎜의 직경을 갖는 원형 웨이퍼의 만곡된 에지에 추종하는 제1 테이퍼 가공된 만곡된 에지 표면, 및 300㎜의 직경을 갖는 원형 웨이퍼의 만곡된 에지에 추종하는 제2 테이퍼 가공된 만곡된 에지 표면을 포함한다.
일반적으로, 다른 양태에 있어서, 본 발명은 센터링하려는 원형 웨이퍼를 상부 표면 위에 지지하기 위한 지지 척과, 제1, 제2 및 제3 회전 운동가능한 정렬 암을 포함하는 원형 웨이퍼를 센터링하기 위한 장치의 특징을 그리고 있다. 상기 제1, 제2 및 제3 회전 운동가능한 정렬 암은 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며 제각기 제1, 제2 및 제3 기계적 조를 포함하며, 상기 제1 기계적 조는 상기 원형 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공된 만곡된 에지 표면을 포함한다. 상기 제1, 제2 및 제3 정렬 암은 서로 120도의 각도로 상기 지지 척 둘레에 배열된다. 상기 제1, 제2 및 제3 기계적 조의 상기 테이퍼 가공된 만곡된 에지 표면들이 제각기 제1, 제2 및 제3 페리미터 에리어에서 상기 원형 웨이퍼의 바깥 페리미터에 접촉하도록 상기 지지 척의 중심을 향해 상기 제1, 제2 및 제3 정렬 암을 회전시킴으로써 상기 지지 척 위에 배치된 원형 웨이퍼를 센터링 및 정렬한다. 상기 제1, 제2 및 제3 페리미터 에리어는 서로 120도의 각도만큼 분리되어 있다. 상기 기계적 조들은, 200㎜의 직경을 갖는 원형 웨이퍼의 만곡된 에지에 추종하는 제1 테이퍼 가공된 만곡된 에지 표면, 및 300㎜의 직경을 갖는 원형 웨이퍼의 만곡된 에지에 추종하는 제2 테이퍼 가공된 만곡된 에지 표면을 포함한다.
일반적으로, 다른 양태에 있어서, 본 발명은 센터링하려는 원형 웨이퍼를 상부 표면 위에 지지하기 위한 지지 척과, 좌측, 우측 및 미들 센터링 링키지 로드와, 상기 좌측, 우측 및 미들 센터링 링키지 로드의 직선 운동을 동기하는 캠 플레이트를 포함하는, 원형 웨이퍼를 센터링하기 위한 장치의 특징을 그리고 있다. 상기 좌측 센터링 링키지 로드는 제1 단부에 제1 회전 암을 포함하며, 상기 좌측 센터링 링키지 로드의 직선 운동이 상기 제1 회전 암의 회전 운동으로 변환된다. 상기 제1 회전 암은, 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며, 상기 원형 웨이퍼의 만곡된 에지에 대항하여 구르도록 구성된 만곡된 에지 표면을 포함한다. 상기 우측 센터링 링키지 로드는 제1 단부에 제2 회전 암을 포함하며, 상기 우측 센터링 링키지 로드의 직선 운동이 상기 제2 회전 암의 회전 운동으로 변환된다. 상기 제2 회전 암은, 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며, 상기 원형 웨이퍼의 만곡된 에지에 대항하여 구르도록 구성된 만곡된 에지 표면을 포함한다. 상기 미들 센터링 링키지 로드는 제1 단부에 제3 정렬 암을 포함한다. 상기 제3 정렬 암은 상기 원형 웨이퍼의 만곡된 에지와 접촉하여 배치되며, 상기 미들 센터링 링키지 로드의 Y-방향으로의 선형 운동은 상기 제3 정렬 암 및 상기 원형 웨이퍼를 상기 지지 척의 중심을 향해 또는 중심으로부터 멀어지도록 압박한다. 상기 캠 플레이트는 제1 및 제2 선형 캠 프로파일을 포함한다. 상기 제1 캠 프로파일은 상기 미들 센터링 링키지 로드에 대한 직선 운동을 제공하고, 상기 제2 선형 캠 프로파일은 상기 좌측 및 우측 센터링 링키지 로드에 대한 직선 운동을 제공한다.
본 발명의 이 양태의 실시는 다음 특징들 중 하나 이상을 포함할 수 있다. 상기 제1 및 제2 캠 선형 프로파일은 서로에 대해 그리고 Y-방향에 대해 소정 각도로 배열된 표면들을 포함한다. 상기 장치는 상기 좌측 및 우측 센터링 링키지 로드의 제2 단부에 부착된 연결 로드를 더 포함하며, 상기 연결 로드는 상기 캠 플레이트의 상기 제2 선형 캠 프로파일을 따라 구르도록 구성된다. 상기 미들 센터링 링키지 로드는 제2 단부에 롤러를 포함하고, 상기 롤러는 상기 캠 플레이트의 상기 제1 선형 캠 프로파일을 따라 구르도록 구성된다. 상기 장치는 모터 및 선형 슬라이드를 더 포함하고, 상기 캠 플레이트는 상기 선형 슬라이드에 고정되고 상기 모터는 상기 선형 슬라이드에 직선 운동을 제공하며 또한 이에 따라 상기 캠 플레이트에 직선 운동을 제공한다. 상기 장치는 상기 제1, 제2 및 제3 정렬 암이 상기 원형 웨이퍼의 만곡된 에지와 접촉하고 있음을 나타내는 센서를 더 포함한다. 상기 센서는 LVDT(Linear Variable Differential Transformer)또는 전기 센서 중 어느 하나이다.
일반적으로, 다른 양태에 있어서, 본 발명은 센터링하려는 원형 웨이퍼를 상부 표면 위에 지지하기 위한 지지 척과, 좌측, 우측 및 미들 센터링 링키지 로드와, 상기 좌측, 우측 및 미들 센터링 링키지 로드의 직선 운동을 동기하는 제1 및 제2 캠 플레이트를 포함하는, 원형 웨이퍼를 센터링하기 위한 장치의 특징을 그리고 있다. 상기 좌측 센터링 링키지 로드는 제1 단부에 제1 회전 암을 포함하고, 상기 좌측 센터링 링키지 로드의 직선 운동이 상기 제1 회전 암의 회전 운동으로 변환된다. 상기 제1 회전 암은, 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며, 상기 원형 웨이퍼의 만곡된 에지에 대항하여 구르도록 구성된 만곡된 에지 표면을 포함한다. 상기 우측 센터링 링키지 로드는 제1 단부에 제2 회전 암을 포함하고, 상기 우측 센터링 링키지 로드의 직선 운동이 상기 제2 회전 암의 와이즈(wise) 회전 운동으로 변환된다. 상기 제2 회전 암은, 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며, 상기 원형 웨이퍼의 만곡된 에지에 대항하여 구르도록 구성된 만곡된 에지 표면을 포함한다. 상기 미들 센터링 링키지 로드는 제1 단부에 제3 정렬 암을 포함하며, 상기 제3 정렬 암은 상기 원형 웨이퍼의 만곡된 에지와 접촉하여 배치된다. 상기 미들 센터링 링키지 로드의 Y-방향으로의 선형 운동은 상기 제3 정렬 암 및 상기 원형 웨이퍼를 상기 지지 척의 중심을 향해 또는 중심으로부터 멀어지도록 압박한다. 상기 제1 및 제2 캠 플레이트는 제각기 제1 및 제2 선형 캠 프로파일을 포함하고, 상기 제1 캠 프로파일은 상기 좌측 센터링 링키지 로드에 대한 직선 운동을 제공하고, 상기 제2 캠 프로파일은 상기 우측 링키지 로드에 대한 직선 운동을 제공한다. 선형 슬라이드가 상기 미들 센터링 링키지 로드의 제2 단부에 연결되어 상기 미들 센터링 링키지 로드에 Y-방향으로의 선형 운동을 제공한다. 상기 제1 및 제2 캠 플레이트는 제각기 상기 제1 및 제2 연결 로드를 통해 상기 선형 슬라이드에 접속되며, 상기 선형 슬라이드의 Y-방향으로의 선형 운동은 상기 제1 및 제2 캠 플레이트의 X-방향으로의 선형 운동으로 변환된다.
이하의 첨부 도면 및 설명에서는, 본 발명의 하나 이상의 실시형태에 대해 상세히 제시한다. 바람직한 실시형태에 대한 뒤따르는 설명, 도면 그리고 청구항으로부터, 본 발명의 기타 특징, 목적 및 이점이 분명해지게 된다.
본 발명은 다양한 유형의 디바이스 웨이퍼/캐리어 웨이퍼 조합을 접합하는데 적용 가능한 다양한 유형의 가접합 기술이 적용된 장치를 제공한다.
도면을 참조하며, 이들 도면에서 여러 도면에 걸쳐 동일한 참조 부호는 동일한 부분을 나타낸다.
도 1은 본 발명에 따른 개선된 웨이퍼 가접합기 및 분리기 시스템의 개략도이다.
도 1a는 도 1의 접합기 모듈 A와 분리기 A에서 각각 수행되는 웨이퍼 가접합 프로세스 A와 분리 프로세스 A를 나타내는 개략도이다.
도 1b는 도 1의 접합기 모듈 A의 개략 단면도, 및 도 1a의 웨이퍼 가접합 프로세스 A를 수행하기 위한 프로세스 스텝의 리스트를 나타낸다.
도 2a는 도 1의 접합기 모듈 B와 분리기 B에서 각각 수행되는 웨이퍼 가접합 프로세스 B와 분리 프로세스 B를 나타내는 개략도이다.
도 2b는 도 1의 접합기 모듈 B의 개략 단면도, 및 도 2a의 웨이퍼 가접합 프로세스 B를 수행하기 위한 프로세스 스텝의 리스트를 나타낸다.
도 3a는 도 1의 접합기 모듈 C와 분리기 C에서 각각 수행되는 웨이퍼 가접합 프로세스 C와 분리 프로세스 C를 나타내는 개략도이다.
도 3b는 도 1의 접합기 모듈 C의 개략 단면도, 및 도 3a의 웨이퍼 가접합 프로세스 C를 수행하기 위한 프로세스 스텝의 리스트를 나타낸다.
도 4는 고정 척을 나타내는 도면이다.
도 5는 도 1의 웨이퍼 가접합기 클러스터를 나타내는 도면이다.
도 6은 도 5의 웨이퍼 가접합기 클러스터의 상부 구조를 가까이 보여주는 도면이다.
도 7은 도 5의 웨이퍼 가접합기 클러스터의 상부 구조의 단면도이다.
도 8은 도 7의 웨이퍼 가접합기 클러스터의 핫 플레이트 모듈을 도시한다.
도 9는 도 7의 웨이퍼 접합기 클러스터의 가접합 모듈을 도시한다.
도 10은 도 9의 가접합기 모듈의 개략 단면도이다.
도 11은 하중 방향에 수직인 도 9의 웨이퍼 가접합기 모듈의 단면도이다.
도 12는 하중 방향과 평행인 도 9의 웨이퍼 가접합기 모듈의 단면도이다.
도 13은 도 9의 웨이퍼 가접합기 모듈에 있어서의 탑 척 레벨링 조정을 나타내는 도면이다.
도 14는 도 9의 웨이퍼 가접합기 모듈의 탑 척의 단면도이다.
도 15는 도 9의 웨이퍼 가접합기 모듈의 상세 단면도이다.
도 16은 초기 정렬(pre alignment) 암이 오픈 위치에 있는 상태의 웨이퍼 센터링 장치를 도시하는 도면이다.
도 17은 초기 정렬 암이 클로즈드 위치에 있는 상태의 도 16의 웨이퍼 센터링 장치를 도시하는 도면이다.
도 18a는 300㎜ 웨이퍼의 초기 정렬을 보여주는 도면이다.
도 18b는 200㎜ 웨이퍼의 초기 정렬을 보여주는 도면이다.
도 19a는 300㎜ 웨이퍼의 초기 정렬을 위한 다른 웨이퍼 센터링 장치를 도시하는 도면이다.
도 19b는 200㎜ 웨이퍼의 초기 정렬을 위한 도 19A의 웨이퍼 센터링 장치를 도시하는 도면이다.
도 19c는 회전 암이 오픈 위치에 있는 상태의 웨이퍼의 초기 정렬을 위한 다른 웨이퍼 센터링 장치를 도시하는 도면이다.
도 19d는 회전 암이 클로즈드 위치에 있는 상태의 도 19c의 웨이퍼 센터링 장치를 도시하는 도면이다.
도 20a, 도 20b 및 도 20c는 비접착성 기판의 적재 및 상부 척으로의 그 이송을 도시하는 도면이다.
도 21a, 도 21b 및 도 21c는 접착성 기판의 적재 및 하부 척으로의 그 이송을 도시하는 도면이다.
도 22a 및 도 22b는 접착성 기판을 비접착성 기판과 접촉시키고 그 두 기판 사이에 가접착을 형성하는 것을 도시하는 도면이다.
도 23은 도 1의 서멀 슬라이드 분리기 A의 개괄도이다.
도 24는 도 23의 분리기 A의 탑 척 조립체의 단면도이다.
도 25는 도 23의 분리기 A의 측단면도이다.
도 26a, 도 26b 및 도 26c는 서멀 슬라이드 분리기 A 동작 스텝을 보여주는 도면이다.
도 27은 도 1의 기계적 분리기 B의 개괄도이다.
도 28은 도 27의 분리기 B의 측단면도이다.
도 29는 분리기 B 동작 스텝을 보여주는 도면이다.
도 1을 참조하면, 웨이퍼 가접합 및 분리를 위한 개선된 장치(100)는 가접합기 클러스트(110) 및 분리기 클러스터(120)를 포함한다. 가접합기 클러스터(110)는 가접합기 모듈 A(210), 모듈 B(310), 모듈 C(410) 및 모듈 D(510)를 포함한다. 분리기 클러스터(120)는 서멀 슬라이드 분리기 A(150), 기계적 분리기 B(250) 및 래디에이션/기계적 분리기 C(350)를 포함한다. 접합기 클러스터(110)는, 무엇보다도, 도 1a, 도 2a, 도 3a 및 도 4에 제각기 도시된 가접합 프로세스 A(60a), 가접합 프로세스 B(70a), 가접합 프로세스 C(80a) 및 가접합 프로세스 D(90a)를 이용한다. 분리기 클러스터(120)는 도 1a, 도 2a 및 도 3a에 제각기 도시된 분리 프로세스 A(60b), 분리 프로세스 B(70b) 및 분리 프로세스 C(80b)를 이용한다.
도 1a를 참조하면, 가접합 프로세스 A(60a)는 이하의 단계를 포함한다. 우선, 디바이스 웨이퍼(20)를 보호 코팅(21)으로 코팅하고(62), 이 코팅을 베이크하여 냉각하고(63), 이어서 웨이퍼를 플립(flip)한다(64). 캐리어 웨이퍼(30)를 접착 레이어(31)로 코팅하고(65), 이어서 이 코팅을 베이크하고 냉각한다(66). 다른 실시형태에 있어서는, 접착 레이어를 코팅하는 것 대신에, 드라이 접착 필름이 캐리어 웨이퍼 상에 라미네이트된다. 다음으로, 플립된 디바이스 웨이퍼(20)는, 보호 코팅(20a)을 구비한 디바이스 웨이퍼의 표면이 접착 레이어(30a)를 구비한 캐리어 웨이퍼의 표면과 대향하도록 캐리어 웨이퍼(30)와 정렬되고(67), 이어서 두 개의 웨이퍼를 도 1b에 도시된 가접합기 모듈 A에서 접합한다(68). 이 접합은 보호 레이어(21)와 접착 레이어(31) 사이의 일시적 접합이다. 다른 실시형태들에 있어서는, 디바이스 웨이퍼 표면에 대해 아무런 보호 코팅이 적용되지 않으며, 디바이스 웨이퍼 표면(20a)은 접착 레이어(31)와 직접적으로 접합된다. 디바이스 웨이퍼의 예는, GaAs 웨이퍼, 실리콘 웨이퍼 또는 100 마이크로미터 미만으로 얇게 되어질 필요가 있는,임의의 다른 반도체 웨이퍼를 포함한다. 이들 박화 웨이퍼는, 양호한 열 제거 및 작은 파워 팩터가 요구되는 파워 증폭기 혹은 다른 파워 디바이스의 제조를 위한 군용 또는 통신용 적용에 있어서 이용된다. 캐리어 웨이퍼는 디바이스 웨이퍼와 열적으로 매칭되는, 즉, 동일한 열팽창 계수(CTE)를 갖는 비 오염 재료로 만들어지는 것이 일반적이다. 캐리어 웨이퍼 재료의 예는, 실리콘, 유리, 사파이어, 석영 또는 다른 반도체 재료를 포함한다. 캐리어 웨이퍼의 직경은, 디바이스 웨이퍼 에지를 지지하고 또한 그 디바이스 웨이퍼 에지의 균열 또는 떨어져나감을 방지하기 위해, 통상적으로 디바이스 웨이퍼의 직경과 같거나 약간 더 크다. 일례에 있어서, 캐리어 웨이퍼 두께는 1000 마이크로미터 정도이며 총 두께 변동(TTV)은 2~3 마이크로미터이다. 캐리어 웨이퍼는, 디바이스 웨이퍼로부터 분리된 후에 재활용되어 재사용된다. 일례에 있어서, 접착 레이어(31)는 미국 미주리주의 브류워 사이언스(Brewer Science)사에서 제조한 유기 접착제 WaferBONDTM HT-10.10이다. 접착제(31)는 스핀-온 프로세스를 통해 도포되며 9 내지 25 마이크로미터의 두께를 갖는다. 스핀 속도는 1000 내지 2500 rpm의 범위이며, 스핀 시간은 3~60초 사이이다. 이 스핀-온 적용 후에, 접착 레이어를 100℃ 내지 150℃ 사이의 온도에서 2분 동안 베이크하고 나서 160℃ 내지 220℃ 온도에서 1~3분 동안 경화시킨다. WaferBONDTM HT-10.10 레이어는 광투과성이며 220℃까지 안정하다. 노출된 디바이스 웨이퍼 표면(20b)의 박화 후에, 도 1a에 도시된 분리 프로세스 A(60b)를 통해 캐리어 웨이퍼(30)를 분리한다. 분리 프로세스 A(60b)는, 이하의 단계를 포함한다. 우선 접착 레이어(31)가 연화될 때까지 웨이퍼 스택(10)을 가열하고 캐리어 웨이퍼(30)가 박화된 웨이퍼로부터 슬라이드하여 떨어져 나간다(69). WaferBONDTM HT-10.10 분리 시간은 5분 미만이다. 이어서 박화된 웨이퍼(20)를 세정하여, 접착 잔류물을 떼어내고(52) 박화된 웨이퍼를 다이싱 프레임(25)에 배치한다(53). 일부의 실시형태에서는, 슬라이딩 병진 운동에 앞서서 캐리어 웨이퍼의 작은 회전 운동(트위스팅)이 일어날 수 있다. 캐리어 웨이퍼(30)의 디바이스 웨이퍼(20)에 대한 가접합(68)은 가접합기 모듈 A(210)에서 일어난다. 도 1b를 참조하면, 디바이스 웨이퍼(20)가 고정 척(202)에 배치되고 고정 척이 챔버(210)에 로딩된다. 캐리어 웨이퍼(30)는 그 접착 레이어를 위로 향하여 하부 척(210a) 상에 직접 배치되며, 두 웨이퍼(20, 30)가 적층되어 정렬된다. 상부 척(210b)이 적층된 웨이퍼 위로 내려오고 작은 힘이 가해진다. 보호 코팅 레이어(21)와 접착 레이어(31) 사이의 접합을 형성하기 위해, 챔버가 소기되고 온도는 200℃로 상승된다. 다음으로, 챔버를 냉각하고 고정 척을 언로딩한다.
분리 프로세스 A(60b)는 서멀 슬라이드 분리 프로세스이며, 도 1a에 도시된 이하의 단계를 포함한다. 접합된 웨이퍼 스택(10)을 가열하여 접착 레이어(31)를 연화시킨다. 이어서 캐리어 웨이퍼를 축(169)을 중심으로 트위스트 운동시키고나서 웨이퍼 스택을 제어된 인가력과 속도 하에 슬라이드시켜 분리해낸다(69). 그리고나서, 분리된 디바이스 웨이퍼(20)를 세정하고(52), 다이싱 프레임(25) 위에 장착한다(53).
도 2a를 참조하면, 가접합 프로세스 B(70a)는 이하의 단계를 포함한다. 먼저, 디바이스 웨이퍼(20)의 표면(20a) 위에 이형 레이어(22)를 형성한다(72). 이형 레이어는, 웨이퍼 디바이스 표면(20a) 상에 먼저 전구체 화합물을 스핀 코팅한 후, 상용으로 이용가능한 PECVD 챔버에서 플라즈마 인핸스드 화학적 증착(PECVD)을 실시함으로써 형성된다. 일례에서, 상기 이형 레이어를 위한 전구체는, 독일 웨커(Wacker)사제의 실리콘 고무인 SemicoSilTM이다. 이어서 이 코팅된 디바이스 웨이퍼를 접착제(73)로 스핀 코팅하고나서 플립한다(74). 다음으로, 캐리어 웨이퍼(30)의 표면(30a) 상에 연질 레이어(32)를 스핀 코팅한다(76). 일례에 있어서, 연질 레이어(32)는 고온 가교(HTC) 실리콘 에라스토머이다. 다음으로, 플립된 디바이스 웨이퍼(20)를 캐리어 웨이퍼(30)와 정렬하여, 이형 레이어(22)를 구비한 디바이스 웨이퍼의 표면(20a)이 연질 레이어(32)를 구비한 캐리어 웨이퍼의 표면(30a)과 대향하도록 하고, 이어서 도 2b에 도시된 가접합기 모듈 B에서 두 웨이퍼를 접합한다(78). 이 가접합은 0.1 mbar의 진공, 150℃ 내지 200℃ 사이의 경화 온도 및 낮은 접합 인가력 하에서 형성된다.
도 2b를 참조하면, 디바이스 웨이퍼(20)는 접착 레이어가 위로 항하게 하여 (도 4에 도시된) 고정 척(202)에 배치된다. 다음으로, 스페이서(203)가 디바이스 웨이퍼(20)의 상부에 배치되고, 이어서 캐리어 웨이퍼(30)가 스페이서의 상부에 배치되고 조립된 고정 척(202)이 접합기 모듈 B로 이송된다(310). 챔버가 소기되고, 스페이서(203)가 제거되고 캐리어 웨이퍼(30)가 디바이스 웨이퍼(20) 위에 떨어뜨려진다. 일부 실시형태에 있어서, 캐리어 웨이퍼(30)는, 질소 또는 다른 불활성 가스를 상부 척(222)에 형성된 진공 그루브를 통해 퍼지함으로써 디바이스 웨이퍼(20) 위에 떨어뜨려진다. 다른 실시형태에 있어서, 상부 척(222)은 정전 척(ESC)이며 캐리어 웨이퍼(30)는 상기 ESC의 극성을 역전시킴으로써 디바이스 웨이퍼(20) 위에 떨어뜨려진다. 다음으로, 접합의 형성을 위해, 챔버를 저압 가스로 퍼지하여 낮은 힘이 가해지고, 온도는 200℃로 상승된다. 다음으로, 챔버를 냉각하고 고정 척을 언로딩한다. 다른 실시형태에서, Z-축(239)이 위로 이동하고 적층된 웨이퍼(20, 30)가 상부 척(222)과 접촉하게 된다. 상부 척(222)은, 이하 설명하는 바와 같이, 반연성(semi-compliant) 또는 비연성(non-compliant)일 수 있다.
분리 프로세스 B(70b)는 기계적인 리프트 분리 프로세스이며, 도 2a에 도시된 이하의 단계를 포함한다. 접합된 웨이퍼 스택(10)을 다이싱 프레임(25) 위에 장착하고(54), 캐리어 웨이퍼(30)를 디바이스 웨이퍼(20)로부터 기계적으로 위로 잡아당겨서 분리한다(55). 박화된 디바이스 웨이퍼(20)는 다이싱 프레임(25)에 의해 지지된 채로 남는다.
도 3a를 참조하면, 가접합 프로세스 C(80a)는 이하의 단계를 포함한다. 먼저, 디바이스 웨이퍼(20)의 표면을 접착 레이어(23)로 코팅한다(82). 일례에 있어서, 접착 레이어(23)는 미국 미네소타주 3M사 제조의 UV 경화형 접착제 LC3200TM이다. 이어서, 접착제가 코팅된 디바이스 웨이퍼는 플립된다(84). 이어서, 광흡수성 이형 레이어(33)가 캐리어 웨이퍼(30)의 표면(30a) 상에 코팅된다(86). 일례에서, 광흡수성 이형 레이어(33)는 미국 미네소타주 3M사 제조의 LC4000이다. 다음으로, 플립된 디바이스 웨이퍼(20)를 캐리어 웨이퍼(30)와 정렬하여, 접착 레이어(23)가 구비된 디바이스 웨이퍼의 표면(20a)이 광흡수성 이형 레이어를 구비한 캐리어 웨이퍼(30)의 표면(30a)과 대향하도록 한다. 두 표면(20a, 30a)이 접촉되게 되고 접착 레이어가 UV 광에 의해 경화된다(87). 두 웨이퍼는 도 3b에 도시된 가접합기 모듈 C(410)에서 접합된다(88). 이러한 접합은 광흡수성 이형 레이어(33)와 접착 레이어(23) 사이의 일시적인 접합이며, 0.1 mbar의 진공 및 낮은 접합 인가력 하에서 형성된다. 디바이스 웨이퍼에 대한 캐리어 웨이퍼의 가접합(88)은 도 3b에 도시된 가접합기 모듈 C에서 일어난다.
도 3b를 참조하면, 레이저 흡수성 이형 레이어 LTHC 레이어를 구비한 캐리어 웨이퍼(30)가 상부 척(412) 위에 배치되고, 고정 핀(413)에 의해 제 위치에 보유된다. 다음으로, 디바이스 웨이퍼(20)가 하부 척(414) 위에 접착 레이어(23)를 위로 향한 상태로 배치된다. 다음으로, 웨이퍼(20, 30)를 정렬하고, 챔버가 소기되고, 캐리어 웨이퍼(30)와 함께 상부 척(412)이 디바이스 웨이퍼(20) 위에 떨어뜨려진다. 이형 레이어(33)와 접착 레이어(23) 사이의 접합 형성을 위해 작은 힘이 가해진다. 다음으로, 접합된 웨이퍼 스택(10)이 언로딩되고, 접착제를 UV 광에 의해 경화시킨다.
다시 도 3a를 참조하면, 분리 프로세스 C(80b)는 이하의 단계를 포함한다. 접합된 웨이퍼 스택(10)이 다이싱 프레임(25) 위에 장착되고(56), 캐리어 웨이퍼(30)에 YAG 레이저 빔을 조사한다. 레이저 빔은 이형 레이어(33)를 따른 웨이퍼 스택의 분리를 일으키고(57), 분리된 캐리어 웨이퍼(30)가 디바이스 웨이퍼(20)로부터 기계적으로 잡아당겨져 분리된다(58). 접착 레이어가 디바이스 웨이퍼 표면(20a)으로부터 박리되고(59), 박화된 디바이스 웨이퍼(20)가 다이싱 프레임(25)에 의해 지지된 상태로 남는다.
도 5를 참조하면, 가접합기 클러스터(110)는 상부 캐비넷 구조체(102)를 하부 캐비넷(103)의 상부에 적층시킨 하우징(101)을 포함한다. 상부 캐비넷(102)은 서비스 접근측(105)을 가지며 하부 캐비넷은 레벨링 조정부(104) 및 트랜스포트 캐스터(106)를 갖는다. 상부 캐비넷 구조체(102) 내에서, 구성가능한 가접합 프로세스 모듈(210, 310, 410, 510)은 도 6에 도시된 바와 같이 수직으로 적층된다. 핫 플레이트 모듈(130) 및 콜드 플레이트 모듈(140)이 또한, 도 7에 도시된 바와 같이, 프로세스 모듈(210, 310) 위, 아래 또는 그들 사이에 적층된다. 추가적인 프로세싱 기능성을 제공하기 위해, 추가적인 프로세스 모듈들이 포함되어도 된다. 접합 프로세스 모듈의 예로서는, 낮은 인가력 모듈, 높은 인가력 모듈, 고온 및 저온 모듈, 조사(UV 광 또는 레이저) 모듈, 고압 (가스) 모듈, 저(진공)압 모듈 및 이들의 조합을 포함한다.
도 9 내지 도 12를 참조하면, 가접합 모듈(210)은 로드 도어(211)를 구비한 하우징(212), 상부 블록 조립체(220) 및 대향하는 하부 블록 조립체(230)를 포함한다. 상부 블록 조립체(220) 및 하부 블록 조립체(230)는 4개의 Z-가이드 포스트(242)에 가동적으로 연결되어 있다. 다른 실시형태에서는, 4개 미만 또는 4개보다 많은 Z-가이드 포스트가 사용된다. 상부 블록 조립체(220)와 하부 블록 조립체(230) 사이에는, 텔레스코핑 커튼 시일(235)이 배치된다. 가접합 챔버(202)가 상부 및 하부 블록 조립체(220, 230)와 텔레스코핑 커튼 시일(235) 사이에 형성된다. 커튼 시일(235)은 가접합 챔버 에리어(202) 외부의 다수의 프로세스 구성요소를 프로세스 챔버 온도, 압력, 진공 및 대기로부터 절연되게 유지한다. 챔버 에리어(202) 외부의 프로세스 구성요소는, 무엇보다, 가이던스 포스트(242), Z-축 드라이브(243), 조명원, 기계적 초기 정렬 암(460a, 460b) 및 웨이퍼 센터링 조(461a, 461b)를 포함한다. 또한, 커튼(235)은 임의의 반경 방향으로부터 접합 챔버(202)에 대한 액세스도 제공한다.
도 11을 참조하면, 하부 블록 조립체(230)는 웨이퍼(20)를 지지하는 히터 플레이트(232), 인슐레이션 레이어(236), 수냉 지지 플랜지(237), 트랜스퍼 핀 스테이지(238) 및 Z-축 블록(239)을 포함한다. 히터 플레이트(232)는 세라믹 플레이트이고 저항 히터 소자(233) 및 통합형(integrated) 에어 쿨링(234)을 포함한다. 히터 소자(233)는, 2개의 서로 상이한 가열 구역이 형성되도록 배열된다. 제1 가열 구역(233B)은 200㎜ 웨이퍼 또는 300㎜ 웨이퍼의 중앙 영역을 가열하도록 구성되고, 제2 가열 구역(233A)은 300㎜ 웨이퍼의 주연부를 가열하도록 구성된다. 가열 구역(233A)은, 전체 접합 계면(405)에 걸쳐 열적 균일성을 달성하고 웨이퍼 스택의 에지에서의 열 손실을 저감하기 위해 가열 구역(233B)과는 독립적으로 제어된다. 또한, 히터 플레이트(232)는 200㎜ 웨이퍼 및 300㎜ 웨이퍼를 제각기 보유하기 위한 2개의 서로 다른 진공 구역을 포함한다. 수냉형 열 절연 지지 플랜지(237)는 절연 레이어(236)에 의해 히터 플레이트로부터 단절되어 있다. 트랜스퍼 핀 스테이지(238)는 하부 블록 조립체(230) 아래에 배치되며 4개의 포스트(242)에 의해 가동적으로 지지된다. 트랜스퍼 핀 스테이지(238)는 서로 다른 사이즈의 웨이퍼들을 승강가능하도록 배열된 트랜스퍼 핀(240)을 지지한다. 일례에서, 트랜스퍼 핀(240)은 200㎜ 및 300㎜ 웨이퍼를 승강가능하도록 배열된다. 트랜스퍼 핀(240)은 직선의 축이며, 몇몇 실시형태에서는, 도 15에 도시된 바와 같이, 그 중심을 관통하여 신장하는 진공 공급 개구를 갖는다. 트랜스퍼 핀 개구를 통해 인출된 진공은 지지 대상의 웨이퍼를 이동 중에 당해 트랜스퍼 핀 위의 제 위치에 보유하며 웨이퍼의 오정렬을 방지한다. Z-축 블록(239)은, 도 12에 도시된 바와 같이, 볼 스크류를 구비한 정밀 Z-축 드라이브(234), 선형 캠 설계, 미크론이하의 위치 제어를 위한 선형 인코더 피드백(244), 및 기어 박스를 구비한 서보모터(246)를 포함한다.
도 13을 참조하면, 상부 블록 조립체(220)는 상부 세라믹 척(222), 시일 요소(235a)에 의해 커튼(235)이 시일하는 상부 스태틱 챔버 벽(221), 200㎜ 및 300㎜ 멤브레인 레이어(224a, 224b) 및 원형으로 120도마다 배열된 3개의 금속 만곡(flexure) 스트랩(226)을 포함한다. 멤브레인 레이어(224a, 224b)는, 클램프(215a, 215b)에 의해 상부 척(222)과 상부 하우징 벽(213) 사이에 각각 크램핑되며, 도 14에 도시된 바와 같이, 200㎜ 및 300㎜ 웨이퍼를 각각 보유하도록 설계된 2개의 분리된 진공 구역(223a, 223b)를 형성한다. 멤브레인 레이어(224a, 224b)는 엘라스토머 재료 또는 금속 벨로우즈로 제조된다. 상부 세라믹 척(222)은 고도로 평탄하고 얇다. 이것은 낮은 질량을 가지며 웨이퍼 스택(10)에 균일한 압력을 적용하기 위해 반연성이다. 상부 척(222)은 3개의 조정가능한 레벨링 클램프/드라이브 조립체(216)에 대해 약하게 멤브레인 압력으로 약하게 프리-로드되어 있다. 클램프/드라이브 조립체(216)는 120도마다 원형으로 배열되어 있다. 상부 척(222)은, 히터 플레이트(232)와 평행하도록, 하부 세라믹 히터 플레이트(232)와 접촉한 상태에서 초기에 레벨링된다. 3개의 금속 스트랩(226)은 플렉서(flexure)로서 작용하며 상부 척(222)에 대한 최소의 Z-구속으로 X-Y-T(세타) 위치 결정을 제공한다. 또한, 클램프/드라이브 조립체(216)는, 변환 없이, 지지되는 웨이퍼의 중심에 대응하는 중심점 둘레를 중심으로 하여 세라믹 척(222)을 회전 및/또는 틸트하는 스페리컬 웨지 에러 보상(WEC) 메커니즘을 제공한다. 다른 실시형태에서, 상부 세라믹 척(222) 위치 결정은 척(222)이 래쉬되는 고정된 레벨링/로케이팅 핀에 의해 이루어진다.
웨이퍼의 로딩 및 초기 정렬은 도 16에 도시된 바와 같은 기계적 센터링 장치(460)에 의해 이루어진다. 센터링 장치(460)는 2개의 회전가능한 초기 정렬 암(460a, 460b) 및 도 16에서 오픈 위치의 상태를 나타내고, 도 17에서는 클로즈드 위치의 상태를 나타내는 선형으로 운동하는 정렬 암(460c)을 포함한다. 각각의 암(460a, 460b)의 단부에는 기계적 조(461a, 461b)가 있다. 기계적 조(461a, 461b)는 도 18a 및 도 18b에 도시된 바와 같이, 제각기, 300㎜ 웨이퍼 및 200㎜ 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공 표면(462, 463)을 갖는다. 선형 운동하는 암(460c)은 원형 웨이퍼의 만곡된 에지에 역시 추종하는 테이퍼 가공된 만곡된 내측면을 갖는 조(461c)를 구비한다. 암(460a, 460b)을 지지 척(464)의 중심(465)을 향해 회전시키고, 암(460c)을 지지 척(464)의 중심(465)을 향해 선형으로 이동시키면 기계적 조(461a, 461b)의 테이퍼 가공 표면 및 조(461c)의 테이퍼 가공된 만곡된 내측면이 웨이퍼의 바깥 페리미터와 접촉하게 되고 당해 웨이퍼를 지지 척(464) 위에 센터링한다. 3개의 암(460a, 460b, 460c)은 지지 척(464) 둘레에 120도로 배열된다. 다른 실시형태에서, 도 18a 및 도 18b에 도시한 바와 같이, 센터링 장치(460)는 3개의 회전가능한 초기 정렬 암을 포함하고, 각 암의 단부에는 기계적 조를 갖는다. 암을 지지 척(464)의 중심을 향해 회전시키면 기계적 조의 테이퍼 가공면이 웨이퍼의 바깥 페리미터와 접촉하고 당해 웨이퍼를 지지 척(464) 위에 센터링한다.
다른 실시형태에 있어서, 웨이퍼의 로딩 및 초기 정렬은 도 19a 및 도 19b에 도시된, 웨이퍼 센터링 장치(470)에 의해 행해진다. 웨이퍼 센터링 장치(470)는 3개의 센터링 링키지(471, 472, 473)를 포함한다. 센터링 링키지(471)는 웨이퍼(30)를 Y-방향으로 이동시키는 기계적 슬라이드(471a) 또는 직선 중앙 위치 에어 베어링을 포함한다. 센터링 링키지(472, 473)는, 제각기 시계 방향 및 반시계 방향으로 회전하는 회전 센터링 암(472a, 473a)을 포함한다. 센터링 링키지(471, 472, 473)의 동작은 두 선형 캠 프로파일(474a, 474b)를 갖는 캠 플레이트(474)의 사용에 의해 동기화된다. 캠 프로파일(474a)은 중간 위치 센터링 암(471)에 대해 직선 동작을 제공하고, 캠 프로파일(474b)는 좌측 및 우측 센터링 암 푸쉬 로드(472b, 473b)에 대한 직선 동작을 제공한다. 푸쉬 로드(472b, 473b)의 직선 동작은, 제각기, 센터링 암(472a, 473a)에서 캠/캠 팔로워 계면에서 회전 동작으로 변환된다. 캠 플레이트(474)는 전기 모터 또는 공압 구동에 의해 직선 동작(X-축 동작)으로 구동되는 선형 슬라이드에 고정된다. 중간 위치 센터링 암(471) 기구에서 LVDT 또는 다른 전기적 센서는, 센터링 장치가 웨이퍼 에지에 대해 정지하였음을 표시하는 거리 피드백을 제공한다. 센터링 장치(471a) 상에는 스프링 프리로드가 존재하며, 이 스프링 프리로드가 능가되면, LVDT는 변위를 등록한다.
또 다른 실시형태에서, 웨이퍼(30)의 로딩 및 초기 정렬은 도 19c 및 도 19d에 도시한 웨이퍼 센터링 장치(480)에 의해 이루어진다. 웨이퍼 센터링 장치(400)는 3개의 센터링 링키지(481, 482, 483)를 포함한다. 센터링 링키지(481)는 직선 중간 위치 에어 베어링 또는 웨이퍼(30)를 Y-방향으로 이동시키는 기계적 슬라이드(481a)를 포함한다. 센터링 링키지(482, 483)는 제각기 시계 방향 및 반시계 방향으로 회전 센터링 암(482a, 483a)을 포함한다. 센터링 링키지(481, 482, 483)의 동작은 제각기 선형 캠 프로파일(484a, 484b)을 포함하는 2개의 플레이트(484, 485)의 사용에 의해 동기화된다. 캠 프로파일(484a, 485a)은 제각기 좌측 및 우측 센터링 암 푸쉬 로드(482, 483)에 대해 직선 동작을 제공한다. 푸쉬 로드(482, 483)의 직선 동작은 제각기 센터링 암(486a, 486b)에서의 캠/캠 팔로워 계면에서 회전 동작으로 변환된다. 플레이트(484, 485)는 제각기 로드(481a, 481b)를 통해 선형 슬라이드(481a)에 연결된다. 도 19d에 도시한 바와 같이, 슬라이드(481a)의 Y-방향으로의 선형 운동은 로드(486a, 486b)를 통해 제각기 X-축을 따른 플레이트(484, 485)의 선형 동작으로 변환된다.
도 20a, 도 20b, 도 20c를 참조하면, 접합기 모듈(210)에 의한 가접합 동작은 이하의 단계들을 포함한다. 우선, 비접착성 기판을 로봇 엔드 이펙터에 의해 트랜스퍼 핀(240a) 위에 로딩한다(350). 이 경우에 있어서, 기판은 300mm 웨이퍼이고 300mm 핀(240a)에 의해 지지되는데, 200mm 핀(240b)은 300mm 핀(240a)보다 약간 낮게 도시되고 있다. 다음으로, 기계적 테이퍼 조(461a, 461b)가 웨이퍼 부근의 위치로 이동하고 트랜스퍼 핀(240a)이 하향 이동한다(352). 트랜스퍼 핀은 진공 및 퍼지 기능을 갖는다. 퍼지 기능은 센터링 사이클 중에 웨이퍼를 부유하게 하게끔 해주고, 진공 기능은 센터링이 완료되었을 때에 웨이퍼를 유지하여 준다. 테이퍼 가공된 "퍼널(funnel)" 조(461a, 461b, 461c)는, 트랜스퍼 핀(240a)을 통해 하강됨에 따라 웨이퍼를 중심으로 구동한다. 도 19 및 도 18에 각각 도시된, 조(461a, 461b, 461c)는 200mm 및 300mm를 포함하는 임의의 사이즈의 웨이퍼를 수용하고 초기 정렬하도록 설계되어 있다. 다음으로, 도 20c에 도시한 바와 같이, 센터링 조(461a, 461b, 461c)를 후퇴시키고 트랜스퍼 핀을 상향 이동시켜 상부 진공 척(222) 위에 상부 기판(20)을 배치한다(354). 다음으로, 도 21a에 도시한, 로봇 엔드 이펙터(356)에 의해 제2 접착제 코팅된 기판(30)을 트랜스퍼 핀(240a) 위에 상방으로 면하게 하여 로딩한다. 다음으로, 도 21b에 도시된, 기계적 테이퍼 조(460)를 웨이퍼(30) 근방의 위치로 이동시키고 트랜스퍼 핀(240a)을 하향 이동시킨 후 상방 이동시킨다(358). 도 21c에 도시한, 센터링 조(461a, 461b)를 후퇴시키고 트랜스퍼 핀(240a)을 하방 이동시켜서 기판(30)을 하부 진공 척(232) 위에 배치한다(359). 다음으로, 하부 히터 스테이지(230)를 상방으로 이동시켜 상부 기판(20)과 하부 기판(30) 사이에 밀접한 프로세스 간극을 형성하고, 커튼 시일(235)을 밀폐하여 도 22a에 도시한 가접합 챔버(202)를 형성한다(360). (20)을 구비한 상부 기판이 기계적 핑거에 의해 보유된 상태에서, 가접합 챔버(202) 내에 초기의 깊은 진공을 형성한다(10~4mbar). 일단 설정된 진공 레벨에 도달하면, 챔버 압력을 대략 5mbar로 약간 상승시켜서 상부 척(222)에 상부 기판(20)을 보유시키는 디퍼런셜 진공압을 생성한다. 도 22b에 도시한 바와 같이, Z-축 스테이지(239)가 보다 더 상승되어 하부 기판(30)이 상부 기판(20)과 접촉되도록 한다(362). 이 동작(362)에 의해 상부 척(222)이 스톱(216)으로부터 리프트 오프된다. 다음으로, 상부 멤브레인(224a) 및 하부 진공 척(232)을 통해 힘이 가해지고 웨이퍼 스택(10)이 프로세스 온도로 가열된다(364). 일례에서, 상기 인가되는 힘은 500N 내지 8000N의 범위 내이며, 프로세스 온도는 200℃이다. 편측의 가열을 이용하는 경우에는, 웨이퍼 스택(10)이 멤브레인 압력에 의해 압축되어 양호한 열전달을 보장한다. 이러한 처리의 종료 후에, 접합된 웨이퍼 스택(10)을 냉각하고 트랜스퍼 핀과 로봇 엔드 이펙터의 도움을 받아 언로딩한다(366).
전술한 경우에 있어서는, Z-축이 상방 이동하여 얇고 반연성의 상부 척(222)/멤브레인(224) 디자인과 접촉한다. 이 실시형태에서는, 접착 레이어가, 멤브레인/척 플렉서를 통해 접합 계면에 수직인 방향으로만 압력을 인가함으로써, 그리고 접착제 토포그라피(topographie)에 추종하도록 반연성의 척을 사용함으로써, TTV/틸트를 제어한다. 다른 실시형태들에서, Z-축은 상방 이동하여 비연성 척과 접촉한다. 이들 경우에, Z-축 운동은 접착 레이어의 최종 두께를 제어하고, 강체의 평탄한 척(222)을 추종하도록 접착제에 힘을 가한다. 접착 레이어 두께는 Z-축 위치 제어, 미리 계측된 기판 두께 및 알려진 접착제 두께를 사용하여 제어될 수 있다. 또 다른 실시형태들에서는, 하부 척(232) 위에 연성 레이어가 설치되고 접착제가 미리 경화되거나 그 점도가 조정된다. 또 다른 실시형태들에서는, 하부 및 상부 척 양방 모두를 거쳐 열이 인가된다.
도 23을 참조하면, 서멀 슬라이드 분리기(150)는 상부 척 조립체(151)와, 하부 척 조립체(152)와, 상부 척 조립체(151)를 지지하는 스태틱 갠트리(153)와, 하부 척 조립체(152)를 지지하는 X-축 캐리지 드라이브(154)와, 200㎜ 및 300㎜의 직경을 포함한 다양한 직경의 웨이퍼를 숭강하도록 설계된 리프트 핀 조립체(155)와, X-축 캐리지 드라이브(154) 및 갠트리(153)를 지지하는 베이스 플레이트(163)를 포함한다.
도 24를 참조하면, 상부 척 조립체(151)는 갠트리(153)에 볼트 고정된 상부 지지 척(157)과, 상부 지지 척(157)의 하부 표면과 접촉하는 히터 지지 플레이트(158)와, 히터 지지 플레이트(158)의 하부 표면과 접촉한 상태의 상부 히터(159)와, Z-축 드라이브(160)와, 상부 웨이퍼 플레이트/히터 하부 표면(164)을 레벨링하기 위한 플레이트 레벨링 시스템을 포함한다. 플레이트 레벨링 시스템은, 상부 히터(159)를 상부 지지 척(157)에 연결하는 3개의 가이드 축(162)과 3개의 공압 구동형 스플리트 클램프(161)를 포함한다. 플레이트 레벨링 시스템은, 변환 없이, 지지된 웨이퍼의 중심에 대응하는 중심점을 중심으로 상부 웨이퍼 플레이트(164)를 회전 및/또는 틸팅하는 스페리컬 웨지 에러 보상(spherical wedge error compensation) 메커니즘을 제공한다. 히터(159)는 지지된 웨이퍼 스택(10)을 350℃까지 가열가능한 정상 상태(steady state) 히터이다. 히터(159)는, 200㎜ 웨이퍼 또는 300㎜ 웨이퍼의 중심 영역을 가열하도록 구성된 제1 가열 구역, 및 300㎜ 웨이퍼의 주변부를 가열하도록 구성된 제2 가열 구역을 포함한다. 제1 및 제2 가열 구역은, 웨이퍼 스택의 전체 접합 계면에 걸쳐 열적 균일성을 달성하고 웨이퍼 스택의 에지에서의 열 손실을 저감하기 위해, 서로 독립적으로 제어된다. 히터 지지 플레이트(158)는, 단열을 제공하고 또한 상부 히터(159)에 의해 발생될 수 있는 열 팽창 스트레스의 전파를 방지하기 위해 수냉된다.
도 25를 참조하면, 하부 척(152)은 낮은 열질량의 세라믹 재료로 만들어지며, 에어 베어링 캐리지 드라이브(154)의 상부에서 X-축을 따라 슬라이드하도록 설계된다. 캐리지 드라이브(154)는 2개의 평행한 횡방향 캐리지 가이던스 트랙(156)에 의해 이 X-축 운동에 있어서 안내된다. 또한, 하부 척(152)은 그 Z-축(169)을 따라 회전하도록 설계된다. 후술하는 바와 같이, 웨이퍼의 분리를 개시하는데 작은 각도분의 Z-축 회전(즉, 트위스팅)이 이용된다. 베이스 플레이트(163)는 진동 절연되어 있다. 일례에서, 베이스 플레이트는 화강암으로 만들어진다. 다른 예에서, 베이스 플레이트(156)는 허니콤 구조를 가지며 공압 진동 절연체(도시하지 않음)에 의해 지지된다.
도 26a, 도 26b, 도 26c를 참조하면, 도 23의 서멀 슬라이드 분리기(150)에 의한 분리 동작은 다음의 단계들을 포함한다. 우선, 주 리프트 핀(155) 위에 캐리어 웨이퍼(30)가 상부에 그리고 박화된 디바이스 웨이퍼(20)가 하부에 있도록 배열된 가접합된 웨이퍼 스택(10)이 로딩된다(171). 다음으로, 웨이퍼 스택(10)이 하강되어 박화된 디바이스 웨이퍼(20)의 하부 표면이 하부 척(152)과 접촉된다(172). 이어서, 하부 척(152)이, 당해 하부 척이 상부 히터(159) 아래에 올 때까지 165a 방향을 따라 이동된다(174). 다음으로, 상부 척(151)의 Z-축(160)이 하강하고 상부 히터(159)의 하부 표면(164)이 캐리어 웨이퍼(30)의 상부 표면과 접촉하게 되고나서 캐리어 웨이퍼 스택(30)이 설정 온도에 도달할 때까지 상부 히터(159)와 캐리어 웨이퍼(30) 위에 공기가 플로팅된다. 설정 온도에 도달하면, 캐리어 웨이퍼(30)에 대한 진공 흡인에 의해 캐리어 웨이퍼가 상부 척 조립체(151)에 의해 보유되고 가이드 축(162)이 스플리트 클램프(162)에 잠금된다(175). 이때, 하부 척(152)이 연성인 상태에서 상부 척(151)이 강체적으로 유지되고, 하부 척(152)을 일차 트위스팅함으로써 서멀 슬라이드 분리가 개시되고, 이어서 강체적으로 유지된 상부 척 조립체(151)로부터 멀어지는 165b 방향을 향해 X-축 캐리지(154)를 이동시킨다. 분리된 박화 디바이스 웨이퍼(20)는, 제거를 위해 핀(178)에 의해 상승되어지는 언로딩 위치로 X-축 캐리지(154)에 의해 반송된다. 다음으로, X-축 캐리지(154)가 165a 방향을 따라 다시 이동된다(180). 상부 척 조립체(151) 아래의 위치에 도달하면, 리프트 핀(155)들이 상승하여 캐리어 웨이퍼(30)의 접착제 측과 접촉하고, 공기가 히터 플레이트(159) 위에 퍼지되어 히터 플레이트로부터 캐리어 웨이퍼를 떨어뜨린다(181). 리프트 핀(155)이 접착제에 의해 하부 척 상부 표면을 오염시키지 않도록 하부 척 평면 바로 위의 높이로 하강하고(182) X-축 캐리지(154)는 165a 방향을 따라 언로딩 위치로 다시 이동한다. 캐리어 웨이퍼를 냉각하고나서 제거한다(183).
도 2a를 참조하면, 기계적 분리기 B(250)는, 박화된 디바이스 웨이퍼(20)로부터 캐리어 웨이퍼(30)의 에지(31)를 기계적으로 당겨 떨어지게 함으로써 박화된 디바이스 웨이퍼(20)로부터 캐리어 웨이퍼(30)를 분리한다. 이 분리 프로세스 전에, 가접합된 웨이퍼 스택(10)이 프레임(25)에 부착되고, 분리시에 박화된 웨이퍼는 프레임(25)에 의해 지지된 상태로 남는다. 도 27 및 도 28을 참조하면, 분리기(250)는 2개의 구역 원형 진공 시일(255)을 구비한 플렉스 플레이트(253)를 포함한다. 시일(255)은, 하나는 당해 시일에 의해 둘러싸인 에리어 내에 배치된 200㎜ 웨이퍼를 시일링하기 위한 구역과, 당해 시일에 의해 둘러싸인 에리어 내에 배치된 300㎜ 웨이퍼를 시일링하기 위한 다른 구역의 두 구역을 포함한다. 시일(255)은 O링 또는 석션 컵에 의해 구현된다. 리프트 핀 조립체(254)는 플렉스 플레이트(253)에 의해 운반되는 분리된 캐리어 웨이퍼(30)를 승강하는데 사용된다. 분리기(250)는 또한 진공 척(256)을 포함한다. 진공 척(256)과 플렉스 플레이트(253)의 양방 모두는 지지 플레이트(252) 상에 서로 이웃하여 배열되며, 이 지지 플레이트는 다시 베이스 플레이트(251)에 의해 지지된다. 플렉스 플레이트(253)는 힌지 모터 드라이브(257)에 의해 구동되는 힌지(263)에 연결된 에지(253b)를 갖는다. 진공 척(256)은 다공의 소결 세라믹 재료로 만들어지며 분리된 박형 웨이퍼(20)를 지지하도록 설계된다. 힌지 모터 드라이브(257)는 웨이퍼 스택(10)이 진공 척(256) 위에 로딩된 후에 웨이퍼 스택(10) 위의 플렉스 플레이트(253)를 구동하기 위해 사용된다. 안티-백래쉬 기어 드라이브(258)는 플렉스 플레이트(253)의 우발적인 되돌아옴을 방지하기 위해 사용된다. 분리 드라이브 모터(259)는 베이스 플레이트(251)의 에지(251a)에 그리고 척 지지 플레이트(252a)의 에지 옆에 부착된다. 분리 드라이브 모터(259)는 방향(261)로 베이스 플레이트(251)의 평면에 수직하게 접촉 롤러(260)를 이동시키며, 이 접촉 롤러의 동작은, 후술하는 바와 같이, 플렉스 플레이트가 로딩된 웨이퍼 스택(10) 위에 배치된 후에 플렉스 플레이트(253)의 에지(253a)를 들어올린다.
도 29를 참조하면, 분리기(250)에 의한 분리 동작(270)은 다음의 단계를 포함한다. 먼저, 웨이퍼 스택(10)을 구비한 테이프 프레임(25)이, 캐리어 웨이퍼(30)가 상부에 그리고 박화된 웨이퍼(20)가 하부에 있도록, 진공 척(256) 위에 로딩된다(271). 도 28에 도시한 바와 같이 테이프 프레임(25)은 프레임 레지스트레이션 핀(262)에 대해 연동되고, 테이프 프레임(25)의 위치는 잠금된다. 다음으로 다공의 진공 척(256)을 통해 진공이 인입되어 테이프 프레임 접착 필름을 보유한다. 다음으로 힌지 모터(257)가 구동되어 플렉스 플레이트(253)를 로딩된 웨이퍼 스택 위로 전송하여, 플렉스 플레이트가 캐리어 웨이퍼의 뒤와 접촉한다(272). 캐리어 웨이퍼(30) 위의 위치에 도달하면, 진공이 시일(255)을 통해 캐리어 웨이퍼 상에 작동된다. 힌지 모터(257)의 토크는 플렉스 플레이트(253)를 이러한 "클로즈드 위치"에 유지하도록 일정하게 유지된다. 다음으로, 분리 모터(259)가 구동되어 접촉 롤러(260)를 방향(261a)으로 상방 이동시키고 플렉스 플레이트(253)의 에지(253a)를 압박한다(273). 이 플렉스 플레이트(253a)의 상방 운동이 캐리어 웨이퍼(30)를 약간 구부리고(또는 휘게하고) 웨이퍼 스택(10)이 이형 레이어(32)를 따라 박리되게 하여 박화된 웨이퍼(20)로부터 캐리어 웨이퍼(30)를 분리시킨다. 실리콘 웨이퍼는 다른 어떤 배향보다도 결정 평면(110)을 따라 보다 쉽게 깨지거나 파열된다. 그러므로, 캐리어 웨이퍼(30)는 그 110 방향이 압박 방향(261a)에 수직이 되어 박리 중에 웨이퍼(30)의 깨짐을 방지하도록 (110) 평면상에 제조된다. 박화된 웨이퍼(20)는 진공 척(256)에 의해 보유된 테이프 프레임(25)에 부착되어 남겨진다. 이 단계를 통해 분리 모터(259)는 제 위치에 일정하게 보유된다. 다음으로, 힌지 모터 드라이브(257)는 분리된 캐리어 웨이퍼(30)가 부착된 플렉스 플레이트(253)를 "오픈 위치"에서 제어되는 방식으로 오픈한다(274). 플렉스 플레이트 진공이 해제되어 캐리어 웨이퍼(30)를 해제한다. 다음으로, 리프트 핀(254)이 상방 이동되어 이형 레이어(32)들이 위를 향하도록 배향된 캐리어 웨이퍼(30)를 상승시키고 캐리어 웨이퍼(30)가 제거된다. 다음으로, 다공의 진공 척(256)을 통한 진공이 해제되고 박화된 웨이퍼(20)가 부착된 테이프(25)가 제거된다.
본 발명에 대한 여러 개의 실시형태를 설명하였다. 그러나, 본 발명의 기술적 사상에서 벗어나지 않는 범위 내에서 다양한 변경이 가능함은 물론이다. 따라서 기타 다른 실시형태는 아래의 청구범위의 내용에 포함되는 것으로 해석해야 한다.

Claims (81)

  1. 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치로서,
    접착 레이어 접합, 접착 레이어와 이형 레이어 접합의 조합 및 UV 광경화 접착 레이어와 레이저 흡수성 이형 레이어 접합의 조합을 포함하는 전자 웨이퍼 접합 프로세스를 행하도록 구성된 가접합기 모듈의 클러스터와,
    상기 가접합기 모듈에 의해 수행되는 상기 전자 웨이퍼 접합 프로세스를 통해 접합된 전자 웨이퍼를 분리하는 분리 프로세스를 수행하도록 구성된 분리기 모듈의 클러스터를 포함하고,
    상기 분리 프로세스는 서멀 슬라이드 분리, 기계적 분리 및 래디에이션(radiation) 분리를 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  2. 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치로서,
    접착 레이어를 통해 두 웨이퍼 표면 간의 가접합을 형성하기 위한 장비를 포함하는 제1 접합기 모듈과; 접착 레이어와 이형 레이어의 조합을 통해 두 웨이퍼 표면간의 가접합을 형성하기 위한 장비를 포함하는 제2 접합기 모듈;
    을 포함하는 가접합기 모듈의 클러스터와,
    접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 장비로서, 상기 접합된 두 웨이퍼를 가열하기 위한 수단, 및 열이 가해지는 동안 일방의 웨이퍼를 타방의 웨이퍼에 대해 슬라이딩시키는 수단을 포함하는 장비를 포함하는 서멀 슬라이드 분리기 모듈과; 접착 레이어와 이형 레이어의 조합을 통해 가접합된 두 웨이퍼를 분리하기 위한 장비로서, 상기 접합된 두 웨이퍼를 가열하기 위한 수단, 및 열이 가해지는 동안에 일방의 웨이퍼를 타방의 웨이퍼로부터 수직으로 멀어지도록 기계적으로 압박하는 수단을 포함하는 장비를 포함하는 기계적 분리기 모듈을 포함하는 분리기 모듈의 클러스터를 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  3. 제2항에 있어서,
    상기 가접합기 모듈의 클러스터는,
    UV 광경화 접착 레이어와 레이저 흡수성 이형 레이어의 조합을 통해 두 웨이퍼 표면간의 가접합을 형성하기 위한 장비를 포함하는 제3 접합기 모듈을 더 포함하고,
    상기 분리기 모듈의 클러스터는, UV 광경화 접착 레이어와 레이저 흡수성 이형 레이어의 조합을 통해 가접합된 두 웨이퍼를 분리하기 위한 장비를 포함하는 래디에이션 분리기 모듈을 더 포함하고, 상기 분리 장비는 상기 접합된 두 웨이퍼에 레이저 조사를 적용하기 위한 수단 및 일방의 웨이퍼를 타방의 웨이퍼로부터 기계적으로 분리하기 위한 수단을 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  4. 제3항에 있어서,
    상기 가접합기 모듈 및 분리기 모듈은 수직으로 적층되는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  5. 제2항에 있어서,
    상기 제1 접합기 모듈 장비는 캐리어 웨이퍼의 표면에 상기 접착 레이어를 도포하기 위한 수단과, 상기 도포된 접착 레이어를 베이크하기 위한 수단 및 냉각하기 위한 수단과, 디바이스 웨이퍼의 표면에 보호 레이어를 도포하기 위한 수단과, 상기 도포된 보호 레이어를 베이크하기 위한 수단 및 냉각하기 위한 수단과, 상기 접착 레이어가 상기 보호 레이어와 대향하도록 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼를 배향하고 정렬하는 수단과, 정렬된 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼와 접촉시킴으로써 적층된 웨이퍼 쌍을 형성하기 위한 수단과, 상기 적층된 웨이퍼 쌍에 대해 힘을 가하기 위한 수단과, 힘이 가해지는 동안 상기 적층된 웨이퍼 쌍을 가열함으로써, 접합된 웨이퍼 쌍을 형성하기 위한 수단을 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  6. 제2항에 있어서,
    상기 제2 접합기 모듈 장비는 디바이스 웨이퍼의 표면에 이형 레이어를 형성하기 위한 수단 및 상기 형성된 이형 레이어 위에 제1 접착 레이어를 도포하기 위한 수단과, 캐리어 웨이퍼의 표면에 제2 접착 레이어를 도포하기 위한 수단과, 상기 제2 접착 레이어가 상기 제1 접착 레이어와 대향하도록 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼를 배향하고 정렬하는 수단과, 정렬된 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼와 접촉시킴으로써 적층된 웨이퍼 쌍을 형성하기 위한 수단과, 상기 적층된 웨이퍼 쌍에 대해 힘을 가하기 위한 수단과, 힘이 가해지는 동안 상기 적층된 웨이퍼 쌍을 가열함으로써, 접합된 웨이퍼 쌍을 형성하기 위한 수단을 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  7. 제3항에 있어서,
    상기 제3 접합기 모듈 장비는 디바이스 웨이퍼의 표면에 UV 광경화 접착 레이어를 도포하는 수단과, 캐리어 웨이퍼의 표면에 레이저 흡수성 이형 레이어를 도포하는 수단과, 상기 레이저 흡수성 이형 레이어가 상기 UV 광경화 접착 레이어와 대향하도록 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼를 배향하고 정렬하는 수단과, 정렬된 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼와 접촉시킴으로써 적층된 웨이퍼 쌍을 형성하기 위한 수단과, 상기 적층된 웨이퍼 쌍에 대해 힘을 가하기 위한 수단 및 힘이 가해지는 동안 상기 적층된 웨이퍼 쌍에 UV 광을 적용함으로써, 접합된 웨이퍼 쌍을 형성하기 위한 수단을 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  8. 제3항에 있어서,
    상기 접합기 모듈 중 임의의 하나는,
    상부 블럭 조립체와,
    상기 상부 블럭 조립체 아래에 배치되며 상기 상부 블럭 조립체와 대향하는 하부 블럭 조립체와,
    상기 상부 및 하부 블럭 조립체 사이에 배치되며, 상기 상부 블럭 조립체와 상기 하부 블럭 조립체 사이의 체적을 둘러싸서 시일링하는 텔레스코핑 커튼으로서, 상기 시일링된 체적은 상기 제1 접합기 모듈 장비를 포함하는 가접합 챔버를 규정하는 텔레스코핑 커튼과,
    상기 가접합 챔버를 소기하기 위한 수단과,
    상기 가접합 챔버 내로 가스를 공급하기 위한 수단을 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  9. 제8항에 있어서,
    상기 접합기 모듈 중 임의의 하나는 2 이상의 Z-가이드 포스트를 더 포함하며,
    상기 상부 및 하부 블럭 조립체는 상기 Z-가이드 포스트에 가동적으로 연결되어 있는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  10. 제8항에 있어서,
    상기 하부 블럭 조립체는,
    상면 및 하면을 갖는 히터 플레이트로서, 상기 히터 플레이트 상면은 제1 웨이퍼를 지지하고 가열하도록 구성되어 있는 히터 플레이트와,
    상면 및 하면을 갖는 인슐레이션 레이어로서, 상기 인슐레이션 레이어 상면은 상기 히터 플레이트 하면과 접촉하는 인슐레이션 레이어와,
    상면 및 하면을 갖는 냉각형 지지 플랜지로서, 상기 냉각형 지지 플랜지 상면은 상기 인슐레이션 레이어 하면과 접촉하는 냉각형 지지 플랜지와,
    상기 냉각형 지지 플랜지 아래에 배치되고, 상기 냉각형 지지 플랜지, 상기 인슐레이션 레이어 및 상기 히터 플레이트를 통과하는 3개 이상의 트랜스퍼 핀을 지지하며, 상기 제1 웨이퍼를 승강하도록 구성되는 트랜스퍼 핀 스테이지와,
    서브미크론 위치 제어를 위한 정밀 Z-드라이브 및 선형 인코더 피드백을 포함하는 Z-축 블럭 드라이브로서, 상기 하부 블럭 조립체를 Z-방향으로 상하 운동시키도록 구성되는, Z-축 블럭 드리이브를 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  11. 제10항에 있어서,
    상기 히터 플레이트는 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 가열하도록 구성된 2개의 독립 제어되는 동심축 가열 구역을 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  12. 제11항에 있어서,
    상기 히터 플레이트는, 상기 히터 플레이트 상면 위에 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 보유하도록 구성된 2개의 독립 제어되는 동심축 진공 구역을 더 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  13. 제8항에 있어서,
    상기 상부 블럭 조립체는,
    제2 웨이퍼를 보유하도록 구성되는 상부 세라믹 척으로서, 고도로 평탄하고 얇은 반연성 세라믹 플레이트를 포함하는 상부 세라믹 척과,
    상기 텔레스코핑 커튼이 시일링 요소에 의해 시일을 형성하는 스태틱 챔버벽과,
    제각기 200 및 300㎜의 직경을 가지며 상기 상부 척과 상부 하우징 벽 사이에 클램핑되는 제1 및 제2 동심축 멤브레인 레이어로서, 제각기 200 및 300㎜ 직경을 갖는 웨이퍼를 보유하도록 설계된 별개의 제1 및 제2 진공 구역을 형성하는, 제1 및 제2 동심축 멤브레인 레이어와,
    상기 상부 하우징 벽에 대해 상기 상부 세라믹 척을 레벨링하고 클램핑하도록 구성된 3개 이상의 조절가능한 레벨링 클램프/드라이브 조립체를 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  14. 제13항에 있어서,
    상기 멤브레인 레이어는 탄성 중합체(elastomeric) 재료 또는 금속 벨로우즈 중 어느 하나를 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  15. 제14항에 있어서,
    상기 클램프/드라이브 조립체는, 변환 없이, 보유된 상기 제2 웨이퍼의 중심에 대응하는 중심점을 중심으로 상기 상부 세라믹 척을 회전 및/또는 틸팅하는 웨지 에러 보상(wedge error compensation) 메커니즘을 더 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  16. 제8항에 있어서,
    상기 접합기 모듈 중 임의의 하나에 상기 제1 및 제2 웨이퍼를 초기 정렬, 로딩 및 언로딩하도록 구성된 기계적 센터링 장치를 더 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  17. 제16항에 있어서,
    상기 기계적 센터링 장치는,
    각각이 그 제1 단부에 기계적 조를 포함하는 2개의 초기 정렬 암으로서, 상기 기계적 조는 상기 제1 및 제2 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공 표면을 포함하는 2개의 초기 정렬 암과,
    상기 제1 및 제2 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공 표면을 구비한 고정 조를 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합 및 분리를 위한 개선된 장치.
  18. 2개의 웨이퍼 표면을 가접합하기 위한 방법으로서,
    서로 반대쪽을 향하는 제1 및 제2 웨이퍼 표면을 포함하는 제1 웨이퍼를 제공하는 단계와,
    서로 반대쪽을 향하는 제1 및 제2 웨이퍼 표면을 포함하는 제2 웨이퍼를 제공하는 단계와,
    상기 제2 웨이퍼의 상기 제1 표면 위에 접착 레이어를 도포하는 단계와,
    상부 블럭 조립체와, 상기 상부 블럭 조립체 아래에 배치되며 상기 상부 블럭 조립체와 대향하는 하부 블럭 조립체와, 상기 상부 및 하부 블럭 조립체 사이에 배치되며, 상기 상부 블럭 조립체와 상기 하부 블럭 조립체 사이의 체적을 둘러싸서 시일링하는 텔레스코핑 커튼으로서, 상기 시일링된 체적은 가접합 챔버를 규정하는 텔레스코핑 커튼과, 상기 가접합 챔버를 소기하기 위한 수단과, 상기 가접합 챔버 내로 가스를 공급하기 위한 수단을 포함하는 접합기 모듈을 제공하는 단계와,
    상기 접합기 모듈 내로 상기 제1 웨이퍼를 삽입하고 상기 제1 웨이퍼의 제1 표면이 아래를 향하도록 상기 상부 블럭 조립체에 의해 상기 제1 웨이퍼를 보유하는 단계와,
    상기 접합기 모듈 내로 상기 제2 웨이퍼를 삽입하고 상기 접착 레이어가 상기 제1 웨이퍼의 제1 표면과 대향하도록 상기 하부 블럭 조립체 위에 상기 제2 웨이퍼를 배치하는 단계와,
    상기 제1 웨이퍼의 제1 표면이 상기 제2 웨이퍼의 상기 접착 레이어와 대향하고 또한 평행하도록 상기 제1 및 제2 웨이퍼를 센터링하고 정렬하는 단계와,
    상기 하부 블럭 조립체를 상방으로 이동하여 상기 접착 레이어와 상기 제1 웨이퍼의 상기 제1 표면 사이에 밀접한 프로세스 간극을 형성하는 단계와,
    상기 텔레스코핑 커튼을 밀폐하여 상기 제1 및 제2 웨이퍼를 둘러싸는 가접합 챔버를 형성하는 단계와,
    기계적 조에 의해 상기 제1 웨이퍼가 보유된 상태에서 초기의 깊은 진공 상태로 상기 가접합 챔버를 소기하는 단계와,
    상기 초기의 깊은 진공 상태에 도달하면, 상기 가접합 챔버 내로 기체를 공급하여 상기 초기의 깊은 진공 상태 위로 상기 가접합 챔버 압력을 약간 상승시키고, 이에 의해 상기 상부 블럭 조립체와 접촉한 상태로 상기 제1 웨이퍼를 보유하는 차압을 생성하는 단계와,
    상기 하부 블럭 조립체를 상방으로 이동하여 상기 접착 레이어를 상기 제1 웨이퍼의 상기 제1 표면과 접촉시키는 단계와,
    상기 접착 레이어의 녹는점 위의 프로세스 온도로 상기 제1 및 제2 웨이퍼를 가열하면서 상기 상부 블럭 조립체를 통해 상기 제1 및 제2 웨이퍼에 힘을 가하여 가접합 웨이퍼 쌍을 형성하는 단계와,
    접합된 상기 웨이퍼 쌍을 냉각하고 상기 접합기 모듈로부터 언로딩하는 단계
    를 포함하는 것을 특징으로 하는 2개의 웨이퍼 표면을 가접합하기 위한 방법.
  19. 제18항에 있어서,
    상기 상부 블럭 조립체는 반연성 척을 포함하고, 상기 힘은 상기 반연성 척을 통해 상기 제1 및 제2 웨이퍼의 접합 계면에 수직하게 가해지는 것을 특징으로 하는 2개의 웨이퍼 표면을 가접합하기 위한 방법.
  20. 제18항에 있어서,
    상기 상부 블럭은 비연성 척을 포함하고, 상기 방법은 상기 하부 블럭 조립체의 상향 운동을 통해 접합된 상기 웨이퍼 쌍에 있어서의 접착 레이어의 최종 두께를 제어하는 단계를 더 포함하는 것을 특징으로 하는 2개의 웨이퍼 표면을 가접합하기 위한 방법.
  21. 전자 웨이퍼 구조체의 가접합을 위한 개선된 장치로서,
    접착 레이어를 통해 두 웨이퍼 표면간의 가접합을 형성하기 위한 장비를 포함하는 제1 접합기 모듈과,
    접착 레이어와 이형 레이어의 조합을 통해 두 웨이퍼 표면간의 가접합을 형성하기 위한 장비를 포함하는 제2 접합기 모듈과,
    UV 광경화 접착 레이어와 레이저 흡수성 이형 레이어의 조합을 통해 두 웨이퍼 표면간의 가접합을 형성하기 위한 장비를 포함하는 제3 접합기 모듈을 포함하는 것을 특징으로 하는 전자 웨이퍼 구조체의 가접합을 위한 개선된 장치.
  22. 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치로서,
    히터 및 웨이퍼 홀더를 포함하는 상부 척 조립체와,
    하부 척 조립체와,
    상기 상부 척 조립체를 지지하는 스태틱 갠트리와,
    상기 하부 척 조립체를 지지하는 X-축 캐리지 드라이브와,
    상기 하부 척 조립체를, 로딩 구역으로부터 상기 상부 척 조립체 아래의 프로세스 구역으로, 그리고 상기 프로세스 구역으로부터 다시 상기 로딩 구역으로 수평으로 구동하도록 구성되는 X-축 드라이브 컨트롤을 포함하고,
    접착 레이어를 통해 디바이스 웨이퍼에 접합된 캐리어 웨이퍼를 포함하는 웨이퍼 쌍이, 상기 디바이스 웨이퍼의 미접합 표면이 상기 하부 조립체와 접촉하도록 배향된 상태로 로딩 구역에서 상기 하부 척 조립체 위에 배치되고, 상기 X-축 캐리지 드라이브에 의해 상기 상부 척 조립체 아래의 상기 프로세스 구역으로 반송되고, 상기 캐리어 웨이퍼의 미접합 표면은 상기 상부 척 조립체와 접촉된 상태로 배치되고,
    상기 X-축 드라이브 컨트롤은, 상기 접착 레이어의 녹는점 주변 또는 위의 온도로 상기 히터에 의해 상기 접합된 웨이퍼 쌍이 가열되면서 또한 상기 캐리어 웨이퍼가 상기 웨이퍼 홀더를 통해 상기 상부 척 조립체에 의해 보유되고 상기 하부 조립체에 의해 상기 디바이스 웨이퍼가 보유된 상태에서, 상기 X-축을 따라 상기 X-축 캐리지 드라이브의 수평 운동을 개시함으로써, 상기 디바이스 웨이퍼가 상기 캐리어 웨이퍼로부터 분리되고 슬라이드되어 멀어지도록 하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  23. 제22항에 있어서,
    상기 하부 척 조립체 상에 배치된 웨이퍼를 승강하도록 설계된 리프트 핀 조립체를 더 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  24. 제22항에 있어서,
    상기 X-축 캐리지 드라이브 및 상기 스태틱 갠트리를 지지하는 베이스 플레이트를 더 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  25. 제24항에 있어서,
    상기 베이스 플레이트는 허니콤 구조체 및 진동 절연 지지체를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  26. 제24항에 있어서,
    상기 베이스 플레이트는 화강암 플레이트를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  27. 제22항에 있어서,
    상기 하부 척 조립체는, 낮은 열질량의 세라믹 재료를 포함하며 상기 X-축 캐리지 드라이브 위에서 상기 X-축을 따라 수평으로 슬라이드하도록 설계되고 또한 상기 Z-축을 중심으로 트위스트 운동하도록 설계된 하부 척을 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  28. 제22항에 있어서,
    상기 X-축 캐리지 드라이브는 에어 베어링 캐리지 드라이브를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  29. 제22항에 있어서,
    상기 X-축을 따른 상기 X-축 캐리지 드라이브의 수평 운동시에, 상기 X-축 캐리지 드라이브를 안내하는 두 개의 평행한 횡방향 캐리지 가이던스 트랙을 더 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  30. 제22항에 있어서,
    상기 상부 척 조립체는,
    상기 스태틱 갠트리에 볼트 고정된 상부 지지 척과,
    상기 상부 지지 척의 하부 표면과 접촉하는 히터 지지 플레이트와,
    상기 히터 지지 플레이트의 하부 표면과 접촉한 상태의 히터와,
    상기 히터와 접촉하는 상부 웨이퍼 플레이트와,
    상기 Z-방향으로 상기 상부 웨이퍼 플레이트를 이동시키고 상기 상부 웨이퍼 플레이트를 상기 캐리어 웨이퍼의 미접합 표면과 접촉한 상태로 배치하기 위한 Z-축 드라이브와,
    상기 상부 웨이퍼 플레이트를 레벨링하고 상기 상부 웨이퍼 플레이트의 웨지 에러 보상을 제공하기 위한 플레이트 레벨링 시스템을 더 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  31. 제30항에 있어서,
    상기 웨이퍼 홀더는 상기 캐리어 웨이퍼의 진공 흡인(vacuum pulling)을 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  32. 제30항에 있어서,
    상기 플레이트 레벨링 시스템은, 상기 히터를 상기 상부 지지 척에 연결하는 3개의 가이드 축과 3개의 공압 구동형 스플리트 클램프(split clamp)를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  33. 제30항에 있어서,
    상기 히터는 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 가열하도록 구성된 2개의 독립 제어되는 동심축 가열 구역을 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리기 장치.
  34. 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법으로서,
    상부 척 조립체와, 하부 척 조립체와, 상기 상부 척 조립체를 지지하는 스태틱 갠트리와, 상기 하부 척 조립체를 지지하는 X-축 캐리지 드라이브와, 상기 X-축 캐리지 드라이브 및 상기 하부 척 조립체를 로딩 구역으로부터 상기 상부 척 조립체 아래의 프로세스 구역으로 그리고 상기 프로세스 구역으로부터 다시 상기 로딩 구역으로 수평으로 구동하도록 구성되는 X-축 드라이브 컨트롤을 포함하는 접합기를 제공하는 단계와,
    접착 레이어를 통해 디바이스 웨이퍼에 접합된 캐리어 웨이퍼를 포함하는 웨이퍼 쌍을, 상기 디바이스 웨이퍼의 미접합 표면이 상기 하부 조립체와 접촉하도록 배향된 상태로 로딩 구역에서 상기 하부 척 조립체 위에 로딩하는 단계와,
    상기 X-축 캐리지 드라이브 및 상기 하부 척 조립체를 상기 상부 척 조립체 아래의 상기 프로세스 구역으로 구동하는 단계와,
    상기 캐리어 웨이퍼의 미접합 표면을 상기 상부 척 조립체와 접촉된 상태로 배치하고, 상기 상부 척 조립체에 의해 상기 캐리어 웨이퍼를 보유하는 단계와,
    상기 상부 척 조립체에 포함된 히터에 의해 상기 접착 레이어의 녹는점 근방 또는 위의 온도로 상기 캐리어 웨이퍼를 가열하는 단계와,
    상기 캐리어 웨이퍼에 열이 가해지면서 그리고 상기 캐리어 웨이퍼가 상기 상부 척 조립체에 의해 보유되고 상기 디바이스 웨이퍼가 상기 하부 척 조립체에 의해 보유된 상태에서, 상기 X-축 드라이브 컨트롤에 의해 상기 X-축을 따라 상기 X-축 캐리지 드라이브의 수평 운동을 개시함으로써, 상기 디바이스 웨이퍼가 상기 캐리어 웨이퍼로부터 분리되고 슬라이드되어 멀어지도록 하는 단계를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  35. 제34항에 있어서,
    리프트 핀 조립체를 통해 상기 하부 척 조립체 위의 상기 웨이퍼 쌍을 승강하는 단계를 더 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  36. 제34항에 있어서,
    상기 분리기는, 상기 X-축 캐리지 드라이브 및 상기 스태틱 갠트리를 지지하는 베이스 플레이트를 더 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  37. 제36항에 있어서,
    상기 베이스 플레이트는 허니콤 구조체 및 진동 절연 지지체를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  38. 제36항에 있어서,
    상기 베이스 플레이트는 화강암 플레이트를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  39. 제34항에 있어서,
    상기 수평 운동이 개시됨과 동시에 상기 디바이스 웨이퍼를 트위스팅하는 단계를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  40. 제34항에 있어서,
    상기 X-축 캐리지 드라이브는 에어 베어링 캐리지 드라이브를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  41. 제34항에 있어서,
    상기 분리기는, 상기 X-축을 따른 상기 X-축 캐리지 드라이브의 수평 운동시에, 상기 X-축 캐리지 드라이브를 안내하는 두 개의 평행한 횡방향 캐리지 가이던스 트랙을 더 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  42. 제34항에 있어서,
    상기 상부 척 조립체는,
    상기 스태틱 갠트리에 볼트 고정된 상부 지지 척과,
    상기 상부 지지 척의 하부 표면과 접촉하는 히터 지지 플레이트와,
    상기 히터 지지 플레이트의 하부 표면과 접촉한 상태의 히터와,
    상기 히터와 접촉하는 상부 웨이퍼 플레이트와,
    상기 Z-방향으로 상기 상부 웨이퍼 플레이트를 이동시키고 상기 상부 웨이퍼 플레이트를 상기 캐리어 웨이퍼의 미접합 표면과 접촉한 상태로 배치하기 위한 Z-축 드라이브와,
    상기 상부 웨이퍼 플레이트를 레벨링하고 상기 상부 웨이퍼 플레이트의 웨지 에러 보상을 제공하기 위한 플레이트 레벨링 시스템을 더 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  43. 제34항에 있어서,
    상기 캐리어 웨이퍼는 진공 흡인을 통해 상기 상부 척 조립체에 보유되는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  44. 제34항에 있어서,
    상기 플레이트 레벨링 시스템은, 상기 히터를 상기 상부 지지 척에 연결하는 3개의 가이드 축과 3개의 공압 구동형 스플리트 클램프를 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  45. 제34항에 있어서,
    상기 히터는 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 가열하도록 구성된 2개의 독립 제어되는 동심축 가열 구역을 포함하는 것을 특징으로 하는 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  46. 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치로서,
    척 및 상기 척의 상부 표면과 접촉하는 웨이퍼를 보유하도록 구성된 제1 웨이퍼 홀더를 포함하는 척 조립체와,
    플렉스 플레이트와 상기 플렉스 플레이트의 제1 표면과 접촉하는 웨이퍼를 보유하도록 구성된 제2 웨이퍼 홀더를 포함하는 플렉스 플레이트 조립체로서, 상기 플렉스 플레이트는 힌지에 연결된 제1 에지와, 상기 제1 에지와 정반대인 제2 에지를 포함하고, 상기 플렉스 플레이트의 제1 에지는 상기 척의 제1 에지에 인접하여 배치되고, 상기 플렉스 프레이트는 상기 힌지를 중심으로 스윙 운동하도록 그리고 상기 척의 상부 표면 위에 배치되도록 구성되는, 플렉스 플레이트 조립체와,
    상기 척의 제1 에지와 정반대인 상기 척의 제2 에지에 인접하여 배열된 접촉 롤러와,
    상기 척 상부 표면의 평면에 수직으로 상기 접촉 롤러를 이동시키도록 구성되는 분리 드라이브 모터를 포함하고,
    디바이스 웨이퍼 위에 스택되고 접착 레이어와 이형 레이어를 통해 상기 디바이스 웨이퍼에 접합된 캐리어 웨이퍼를 포함하는 웨이퍼 쌍이, 상기 디바이스 웨이퍼의 미접합 표면이 상기 척 상부 표면과 접촉하도록, 상기 척 위에 배치되고,
    상기 플렉스 플레이트는, 상기 힌지를 중심으로 하여 스윙 운동하고 또한 그 제1 표면이 상기 캐리어 웨이퍼의 미접합 표면과 접촉하도록 상기 하부 척 위에 배치되고,
    제각기 상기 제2 및 제1 웨이퍼 홀더를 통해, 상기 캐리어 웨이퍼는 상기 플렉스 플레이트에 의해 보유되고 상기 디바이스 웨이퍼는 상기 척에 보유되는 동안에, 상기 접촉 롤러는, 상기 플렉스 플레이트의 상기 제2 에지와 접촉하여 상기 제2 에지를 압박할 때까지 상방으로 구동되고,
    상기 접촉 롤러는 상기 플렉스 플레이트의 상기 제2 에지를 눌러 구부려서 상기 이형 레이어를 따른 상기 웨이퍼 쌍의 박리를 일으키는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  47. 제46항에 있어서,
    힌지 모터를 더 포함하고, 상기 힌지 모터에 의해 상기 힌지가 구동되는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  48. 제46항에 있어서,
    상기 제1 및 제2 홀더는 제각기 상기 척 및 상기 플렉스 플레이트를 통한 진공 흡인을 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  49. 제46항에 있어서,
    상기 웨이퍼 쌍은 테이프 프레임을 더 포함하고, 상기 디바이스 웨이퍼는 상기 척을 통한 진공 흡인에 의해 상기 테이프 프레임을 보유함으로써 상기 척에 의해 보유되는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  50. 제46항에 있어서,
    상기 척 조립체, 상기 플렉스 플레이트 조립체 및 상기 힌지를 지지하는 지지 플레이트를 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  51. 제50항에 있어서,
    상기 지지 플레이트, 상기 접촉 롤러, 상기 힌지 모터 및 상기 분리 구동 모터를 지지하는 베이스 플레이트를 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  52. 제46항에 있어서,
    상기 플렉스 플레이트 조립체는, 상기 플렉스 플레이트의 제1 표면상에 배치된 웨이퍼를 승강하도록 설계된 리프트 핀 조립체를 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  53. 제46항에 있어서,
    상기 플렉스 플레이트는, 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 보유하도록 구성된 2개의 독립 제어되는 동심축 진공 구역을 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  54. 제53항에 있어서,
    상기 진공 구역은 O링 또는 석션 컵 중 어느 하나에 의해 시일링되는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  55. 제54항에 있어서,
    상기 척은 다공의 세라믹 재료로 만들어진 진공 척을 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  56. 제46항에 있어서,
    상기 플렉스 플레이트의 우발적인 백 스윙을 방지하도록 구성된 안티-백래쉬 기어 드라이브를 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 분리 장치.
  57. 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법으로서,
    척 조립체, 플렉스 플레이트 조립체 및 접촉 롤러를 포함하는 분리 장치를 제공하는 단계로서, 상기 척 조립체는 척 및 상기 척의 상부 표면과 접촉하는 웨이퍼를 보유하도록 구성된 제1 웨이퍼 홀더를 포함하고, 상기 플렉스 플레이트 조립체는 플렉스 플레이트와 상기 플렉스 플레이트의 제1 표면과 접촉하는 웨이퍼를 보유하도록 구성된 제2 웨이퍼 홀더를 포함하고, 상기 플렉스 플레이트는 힌지에 연결된 제1 에지와, 상기 제1 에지와 정반대인 제2 에지를 포함하고, 상기 플렉스 플레이트의 제1 에지는 상기 척의 제1 에지에 인접하여 배치되고, 상기 플렉스 프레이트는 상기 힌지를 중심으로 스윙 운동하도록 그리고 상기 척의 상부 표면 위에 배치되도록 구성되고, 상기 접촉 롤러는 상기 척의 제1 에지와 정반대인 상기 척의 제2 에지에 인접하여 배치되는 단계와,
    디바이스 웨이퍼 위에 스택되고 접착 레이어와 이형 레이어를 통해 상기 디바이스 웨이퍼에 접합된 캐리어 웨이퍼를 포함하는 웨이퍼 쌍을 제공하는 단계와,
    상기 디바이스 웨이퍼의 미접합 표면이 상기 척 상부 표면과 접촉하도록 상기 척 위에 상기 웨이퍼 쌍을 배치하는 단계와,
    상기 플렉스 플레이트를 상기 힌지를 중심으로 하여 스윙 운동시키고, 그 제1 표면이 상기 캐리어 웨이퍼의 미접합 표면과 접촉하도록 상기 하부 척 위에 배치하는 단계와,
    제각기 상기 제2 및 제1 웨이퍼 홀더를 통해, 상기 캐리어 웨이퍼는 상기 플렉스 플레이트에 의해 보유되고 상기 디바이스 웨이퍼는 상기 척에 의해 보유되는 동안에, 상기 플렉스 플레이트의 상기 제2 에지와 접촉하여 상기 제2 에지를 압박할 때까지 상기 접촉 롤러를 상방으로 구동하는 단계를 포함하고,
    상기 접촉 롤러는 상기 플렉스 플레이트의 상기 제2 에지를 눌러 구부려서 상기 이형 레이어를 따른 상기 웨이퍼 쌍의 박리를 일으키는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  58. 제57항에 있어서,
    상기 분리 장치는 상기 척 상면의 평면에 수직하게 상기 접촉 롤러를 이동시키도록 구성된 분리 드라이브 모터를 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  59. 제57항에 있어서,
    상기 분리 장치는 힌지 모터를 더 포함하고, 상기 힌지 모터에 의해 상기 힌지가 구동되는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  60. 제57항에 있어서,
    상기 제1 및 제2 홀더는 제각기 상기 척 및 상기 플렉스 플레이트를 통한 진공 흡인을 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  61. 제57항에 있어서,
    상기 웨이퍼 쌍은 테이프 프레임을 더 포함하고, 상기 디바이스 웨이퍼는 상기 척을 통한 진공 흡인에 의해 상기 테이프 프레임을 보유함으로써 상기 척에 의해 보유되는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  62. 제57항에 있어서,
    상기 분리 장치는 상기 척 조립체, 상기 플렉스 플레이트 조립체 및 상기 힌지를 지지하는 지지 플레이트를 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  63. 제57항에 있어서,
    상기 분리 장치는 상기 지지 플레이트, 상기 접촉 롤러, 상기 힌지 모터 및 상기 분리 구동 모터를 지지하는 베이스 플레이트를 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  64. 제57항에 있어서,
    상기 플렉스 플레이트 조립체는, 상기 플렉스 플레이트의 제1 표면상에 배치된 웨이퍼를 승강하도록 설계된 리프트 핀 조립체를 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  65. 제57항에 있어서,
    상기 플렉스 플레이트는, 제각기 200 또는 300㎜의 직경을 갖는 웨이퍼를 보유하도록 구성된 2개의 독립 제어되는 동심축 진공 구역을 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  66. 제65항에 있어서,
    상기 진공 구역은 O링 또는 석션 컵 중 어느 하나에 의해 시일링되는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  67. 제66항에 있어서,
    상기 척은 다공의 세라믹 재료로 만들어진 진공 척을 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  68. 제57항에 있어서,
    상기 분리기 장치는 상기 플렉스 플레이트의 우발적인 백 스윙을 방지하도록 구성된 안티-백래쉬 기어 드라이브를 더 포함하는 것을 특징으로 하는 이형 레이어와 결합된 접착 레이어를 통해 가접합된 두 웨이퍼를 분리하기 위한 방법.
  69. 원형 웨이퍼를 센터링하기 위한 장치로서,
    센터링하려는 원형 웨이퍼를 상부 표면 위에 지지하기 위한 지지 척과,
    상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며 제1 기계적 조를 포함하는 제1 회전 운동가능한 정렬 암으로서, 상기 제1 기계적 조는 상기 원형 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공된 만곡된 에지 표면을 포함하는, 제1 회전 운동가능한 정렬 암과,
    상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며 제2 기계적 조를 포함하는 제2 회전 운동가능한 정렬 암으로서, 상기 제2 기계적 조는 상기 원형 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공된 만곡된 에지 표면을 포함하는, 제2 회전 운동가능한 정렬 암과,
    상기 원형 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공된 만곡된 내측 표면을 포함하는 제3 선형 운동 정렬 암을 포함하고,
    상기 제1, 제2 및 제3 정렬 암은 서로 120도의 각도로 상기 지지 척 둘레에 배열되며,
    상기 제1 및 제2 기계적 조의 상기 테이퍼 가공된 만곡된 에지 표면들이 제각기 제1 및 제2 페리미터(perimeter) 에리어에서 상기 원형 웨이퍼의 바깥 페리미터에 접촉하도록 상기 지지 척의 중심을 향해 상기 제1 및 제2 정렬 암을 회전시키고 또한 그 테이퍼 가공된 내측 표면이 제3 페리미터 에리어에서 상기 원형 웨이퍼의 바깥 페리미터에 접촉하도록 상기 지지 척의 중심을 향해 상기 제3 정렬 암을 선형 운동시킴으로써, 상기 지지 척 위에 배치된 원형 웨이퍼를 센터링 및 정렬하고, 상기 제1, 제2 및 제3 페리미터 에리어는 서로 120도의 각도만큼 분리되어 있는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  70. 제69항에 있어서,
    상기 기계적 조들은, 200㎜의 직경을 갖는 원형 웨이퍼의 만곡된 에지에 추종하는 제1 테이퍼 가공된 만곡된 에지 표면, 및 300㎜의 직경을 갖는 원형 웨이퍼의 만곡된 에지에 추종하는 제2 테이퍼 가공된 만곡된 에지 표면을 포함하는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  71. 원형 웨이퍼를 센터링하기 위한 장치로서,
    센터링하려는 원형 웨이퍼를 상부 표면 위에 지지하기 위한 지지 척과,
    상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며 제1 기계적 조를 포함하는 제1 회전 운동가능한 정렬 암으로서, 상기 제1 기계적 조는 상기 원형 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공된 만곡된 에지 표면을 포함하는, 제1 회전 운동가능한 정렬 암과,
    상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며 제2 기계적 조를 포함하는 제2 회전 운동가능한 정렬 암으로서, 상기 제2 기계적 조는 상기 원형 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공된 만곡된 에지 표면을 포함하는, 제2 회전 운동가능한 정렬 암과,
    상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며 제3 기계적 조를 포함하는 제3 회전 운동가능한 정렬 암으로서, 상기 제3 기계적 조는 상기 원형 웨이퍼의 만곡된 에지에 추종하는 테이퍼 가공된 만곡된 에지 표면을 포함하는, 제3 회전 운동가능한 정렬 암을 포함하고,
    상기 제1, 제2 및 제3 정렬 암은 서로 120도의 각도로 상기 지지 척 둘레에 배열되며,
    상기 제1, 제2 및 제3 기계적 조의 상기 테이퍼 가공된 만곡된 에지 표면들이 제각기 제1, 제2 및 제3 페리미터 에리어에서 상기 원형 웨이퍼의 바깥 페리미터에 접촉하도록 상기 지지 척의 중심을 향해 상기 제1, 제2 및 제3 정렬 암을 회전시킴으로써 상기 지지 척 위에 배치된 원형 웨이퍼를 센터링 및 정렬하고, 상기 제1, 제2 및 제3 페리미터 에리어는 서로 120도의 각도만큼 분리되어 있는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  72. 제71항에 있어서,
    상기 기계적 조들은, 200㎜의 직경을 갖는 원형 웨이퍼의 만곡된 에지에 추종하는 제1 테이퍼 가공된 만곡된 에지 표면, 및 300㎜의 직경을 갖는 원형 웨이퍼의 만곡된 에지에 추종하는 제2 테이퍼 가공된 만곡된 에지 표면을 포함하는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  73. 원형 웨이퍼를 센터링하기 위한 장치로서,
    센터링하려는 원형 웨이퍼를 상부 표면 위에 지지하기 위한 지지 척과,
    제1 단부에 제1 회전 암을 포함하는 좌측 센터링 링키지 로드로서, 상기 좌측 센터링 링키지 로드의 직선 운동이 상기 제1 회전 암의 회전 운동으로 변환되고, 상기 제1 회전 암은, 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며, 상기 원형 웨이퍼의 만곡된 에지에 대항하여 구르도록 구성된 만곡된 에지 표면을 포함하는, 좌측 센터링 링키지 로드와,
    제1 단부에 제2 회전 암을 포함하는 우측 센터링 링키지 로드로서, 상기 우측 센터링 링키지 로드의 직선 운동이 상기 제2 회전 암의 회전 운동으로 변환되고, 상기 제2 회전 암은, 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며, 상기 원형 웨이퍼의 만곡된 에지에 대항하여 구르도록 구성된 만곡된 에지 표면을 포함하는, 우측 센터링 링키지 로드와,
    제1 단부에 제3 정렬 암을 포함하는 미들 센터링 링키지 로드로서, 상기 제3 정렬 암은 상기 원형 웨이퍼의 만곡된 에지와 접촉하여 배치되며, 상기 미들 센터링 링키지 로드의 Y-방향으로의 선형 운동은 상기 제3 정렬 암 및 상기 원형 웨이퍼를 상기 지지 척의 중심을 향해 또는 중심으로부터 멀어지도록 압박하는 미들 센터링 링키지 로드와,
    상기 좌측, 우측 및 미들 센터링 링키지 로드의 직선 운동을 동기하는 캠 플레이트로서, 상기 캠 플레이트는 제1 및 제2 선형 캠 프로파일을 포함하고, 상기 제1 캠 프로파일은 상기 미들 센터링 링키지 로드에 대한 직선 운동을 제공하고, 상기 제2 선형 캠 프로파일은 상기 좌측 및 우측 센터링 링키지 로드에 대한 직선 운동을 제공하는 캠 플레이트를 포함하는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  74. 제73항에 있어서,
    상기 제1 및 제2 캠 선형 프로파일은 서로에 대해 그리고 Y-방향에 대해 소정 각도로 배열된 표면들을 포함하는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  75. 제73항에 있어서,
    상기 좌측 및 우측 센터링 링키지 로드의 제2 단부에 부착된 연결 로드를 더 포함하며, 상기 연결 로드는 상기 캠 플레이트의 상기 제2 선형 캠 프로파일을 따라 구르도록 구성되는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  76. 제73항에 있어서,
    상기 미들 센터링 링키지 로드는 제2 단부에 롤러를 포함하고, 상기 롤러는 상기 캠 플레이트의 상기 제1 선형 캠 프로파일을 따라 구르도록 구성되는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  77. 제73항에 있어서,
    모터 및 선형 슬라이드를 더 포함하고, 상기 캠 플레이트는 상기 선형 슬라이드에 고정되고 상기 모터는 상기 선형 슬라이드에 직선 운동을 제공하며 또한 이에 따라 상기 캠 플레이트에 직선 운동을 제공하는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  78. 제73항에 있어서,
    상기 제1, 제2 및 제3 정렬 암이 상기 원형 웨이퍼의 만곡된 에지와 접촉하고 있음을 나타내는 센서를 더 포함하는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  79. 제78항에 있어서,
    상기 센서는 LVDT(Linear Variable Differential Transformer)또는 전기 센서 중 어느 하나는 포함하는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  80. 원형 웨이퍼를 센터링하기 위한 장치로서,
    센터링하려는 원형 웨이퍼를 상부 표면 위에 지지하기 위한 지지 척과,
    제1 단부에 제1 회전 암을 포함하는 좌측 센터링 링키지 로드로서, 상기 좌측 센터링 링키지 로드의 직선 운동이 상기 제1 회전 암의 회전 운동으로 변환되고, 상기 제1 회전 암은, 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며, 상기 원형 웨이퍼의 만곡된 에지에 대항하여 구르도록 구성된 만곡된 에지 표면을 포함하는, 좌측 센터링 링키지 로드와,
    제1 단부에 제2 회전 암을 포함하는 우측 센터링 링키지 로드로서, 상기 우측 센터링 링키지 로드의 직선 운동이 상기 제2 회전 암의 와이즈(wise) 회전 운동으로 변환되고, 상기 제2 회전 암은, 상기 지지 척의 상부 표면에 수직인 축을 중심으로 하여 회전가능하며, 상기 원형 웨이퍼의 만곡된 에지에 대항하여 구르도록 구성된 만곡된 에지 표면을 포함하는, 우측 센터링 링키지 로드와,
    제1 단부에 제3 정렬 암을 포함하는 미들 센터링 링키지 로드로서, 상기 제3 정렬 암은 상기 원형 웨이퍼의 만곡된 에지와 접촉하여 배치되며, 상기 미들 센터링 링키지 로드의 Y-방향으로의 선형 운동은 상기 제3 정렬 암 및 상기 원형 웨이퍼를 상기 지지 척의 중심을 향해 또는 중심으로부터 멀어지도록 압박하는 미들 센터링 링키지 로드와,
    상기 좌측, 우측 및 미들 링키지 로드의 직선 운동을 동기하는 제1 및 제2 캠 플레이트로서, 상기 제1 및 제2 캠 플레이트는 제각기 제1 및 제2 선형 캠 프로파일을 포함하고, 상기 제1 캠 프로파일은 상기 좌측 센터링 링키지 로드에 대한 직선 운동을 제공하고, 상기 제2 캠 프로파일은 상기 우측 링키지 로드에 대한 직선 운동을 제공하는 제1 및 제2 캠 플레이트를 포함하는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
  81. 제80항에 있어서,
    상기 미들 센터링 링키지 로드의 제2 단부에 연결되어 상기 미들 센터링 링키지 로드에 Y-방향으로의 선형 운동을 제공하는 선형 슬라이드를 더 포함하고,
    상기 제1 및 제2 캠 플레이트는 제각기 상기 제1 및 제2 연결 로드를 통해 상기 선형 슬라이드에 접속되며, 상기 선형 슬라이드의 Y-방향으로의 선형 운동은 상기 제1 및 제2 캠 플레이트의 X-방향으로의 선형 운동으로 변환되는 것을 특징으로 하는 원형 웨이퍼를 센터링하기 위한 장치.
KR1020117027248A 2009-04-16 2010-04-15 웨이퍼 가접합 및 분리를 위한 개선된 장치 KR20120027237A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16975309P 2009-04-16 2009-04-16
US61/169,753 2009-04-16

Publications (1)

Publication Number Publication Date
KR20120027237A true KR20120027237A (ko) 2012-03-21

Family

ID=42980095

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117027248A KR20120027237A (ko) 2009-04-16 2010-04-15 웨이퍼 가접합 및 분리를 위한 개선된 장치

Country Status (6)

Country Link
US (5) US8267143B2 (ko)
EP (1) EP2419928A2 (ko)
JP (2) JP5439583B2 (ko)
KR (1) KR20120027237A (ko)
CN (1) CN102460677A (ko)
WO (1) WO2010121068A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140088680A (ko) * 2013-01-03 2014-07-11 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
KR101454077B1 (ko) * 2012-04-25 2014-10-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 캐리어 웨이퍼를 갖는 웨이퍼 어셈블리
US8945344B2 (en) 2012-07-20 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of separating bonded wafers
KR20160032207A (ko) * 2013-07-17 2016-03-23 수스 마이크로텍 리소그라피 게엠바하 웨이퍼의 정렬 및 중심맞춤을 위한 장치 및 방법
KR20220111408A (ko) * 2021-02-02 2022-08-09 주식회사 플래닝썬 발포 테이프를 이용한 박형 웨이퍼 취급 방법

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8950459B2 (en) 2009-04-16 2015-02-10 Suss Microtec Lithography Gmbh Debonding temporarily bonded semiconductor wafers
US8366873B2 (en) * 2010-04-15 2013-02-05 Suss Microtec Lithography, Gmbh Debonding equipment and methods for debonding temporary bonded wafers
DE102009018156A1 (de) * 2009-04-21 2010-11-18 Ev Group Gmbh Vorrichtung und Verfahren zum Trennen eines Substrats von einem Trägersubstrat
US8125058B2 (en) 2009-06-10 2012-02-28 Medtronic, Inc. Faraday cage for circuitry using substrates
US8871609B2 (en) * 2009-06-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling structure and method
US9305769B2 (en) 2009-06-30 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling method
US8343300B2 (en) * 2009-12-23 2013-01-01 Suss Microtec Lithography, Gmbh Automated thermal slide debonder
WO2011120537A1 (de) 2010-03-31 2011-10-06 Ev Group E. Thallner Gmbh Verfahren zur herstellung eines doppelseitig mit chips bestückten wafers
US9837295B2 (en) 2010-04-15 2017-12-05 Suss Microtec Lithography Gmbh Apparatus and method for semiconductor wafer leveling, force balancing and contact sensing
US9064686B2 (en) * 2010-04-15 2015-06-23 Suss Microtec Lithography, Gmbh Method and apparatus for temporary bonding of ultra thin wafers
JP5374462B2 (ja) * 2010-08-23 2013-12-25 東京エレクトロン株式会社 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
DE102010048043A1 (de) * 2010-10-15 2012-04-19 Ev Group Gmbh Vorrichtung und Verfahren zur Prozessierung von Wafern
US8666505B2 (en) 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US9171721B2 (en) 2010-10-26 2015-10-27 Medtronic, Inc. Laser assisted direct bonding
US8415805B2 (en) * 2010-12-17 2013-04-09 Skyworks Solutions, Inc. Etched wafers and methods of forming the same
US8796109B2 (en) 2010-12-23 2014-08-05 Medtronic, Inc. Techniques for bonding substrates using an intermediate layer
US8424388B2 (en) 2011-01-28 2013-04-23 Medtronic, Inc. Implantable capacitive pressure sensor apparatus and methods regarding same
KR101896483B1 (ko) * 2011-02-28 2018-09-10 다우 실리콘즈 코포레이션 웨이퍼 본딩 시스템 및 그의 본딩 및 디본딩 방법
KR101570917B1 (ko) 2011-04-11 2015-11-20 에베 그룹 에. 탈너 게엠베하 가요성의 캐리어 마운트 및 캐리어 기판을 분리하기 위한 장치 및 방법
JP5740578B2 (ja) * 2011-04-12 2015-06-24 東京エレクトロン株式会社 剥離方法、プログラム、コンピュータ記憶媒体、剥離装置及び剥離システム
US9227295B2 (en) 2011-05-27 2016-01-05 Corning Incorporated Non-polished glass wafer, thinning system and method for using the non-polished glass wafer to thin a semiconductor wafer
JP2013008915A (ja) * 2011-06-27 2013-01-10 Toshiba Corp 基板加工方法及び基板加工装置
FR2980280B1 (fr) * 2011-09-20 2013-10-11 Soitec Silicon On Insulator Procede de separation d'une couche dans une structure composite
US9393669B2 (en) * 2011-10-21 2016-07-19 Strasbaugh Systems and methods of processing substrates
US8858756B2 (en) * 2011-10-31 2014-10-14 Masahiro Lee Ultrathin wafer debonding systems
KR101869922B1 (ko) * 2011-11-28 2018-06-22 삼성디스플레이 주식회사 진공 필링 장치 및 진공 필링 방법
US10381254B2 (en) * 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US9390949B2 (en) 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
US11264262B2 (en) * 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
CN103999205B (zh) 2011-12-22 2017-12-01 Ev 集团 E·索尔纳有限责任公司 柔性的基片支架、用于分离第一基片的装置和方法
JP2013147622A (ja) * 2012-01-23 2013-08-01 Nitto Denko Corp 貼り合わされた2枚の板の分離方法
JP2015513211A (ja) * 2012-01-30 2015-04-30 スリーエム イノベイティブ プロパティズ カンパニー 一時的な基板支持のための装置、複合積層体、方法、及び材料
WO2013119976A1 (en) * 2012-02-08 2013-08-15 Brewer Science Inc. Fluorinated silane coating compositions for thin wafer bonding and handling
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
JP6055597B2 (ja) 2012-02-09 2016-12-27 東京応化工業株式会社 貼付方法及び貼付装置
KR101223633B1 (ko) * 2012-02-20 2013-01-17 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 처리방법
JP5687647B2 (ja) * 2012-03-14 2015-03-18 株式会社東芝 半導体装置の製造方法、半導体製造装置
JP5591859B2 (ja) * 2012-03-23 2014-09-17 株式会社東芝 基板の分離方法及び分離装置
US8697542B2 (en) 2012-04-12 2014-04-15 The Research Foundation Of State University Of New York Method for thin die-to-wafer bonding
JP5752639B2 (ja) * 2012-05-28 2015-07-22 東京エレクトロン株式会社 接合システム、接合方法、プログラム及びコンピュータ記憶媒体
KR101970291B1 (ko) 2012-08-03 2019-04-18 삼성전자주식회사 반도체 패키지의 제조 방법
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
CN103035482B (zh) * 2012-08-15 2016-04-13 上海华虹宏力半导体制造有限公司 硅片的临时键合方法
US9610669B2 (en) 2012-10-01 2017-04-04 Strasbaugh Methods and systems for use in grind spindle alignment
US9457446B2 (en) 2012-10-01 2016-10-04 Strasbaugh Methods and systems for use in grind shape control adaptation
US9269623B2 (en) 2012-10-25 2016-02-23 Rohm And Haas Electronic Materials Llc Ephemeral bonding
US20140144593A1 (en) 2012-11-28 2014-05-29 International Business Machiness Corporation Wafer debonding using long-wavelength infrared radiation ablation
US9586291B2 (en) 2012-11-28 2017-03-07 Globalfoundries Inc Adhesives for bonding handler wafers to device wafers and enabling mid-wavelength infrared laser ablation release
US9543197B2 (en) * 2012-12-19 2017-01-10 Intel Corporation Package with dielectric or anisotropic conductive (ACF) buildup layer
KR102077248B1 (ko) 2013-01-25 2020-02-13 삼성전자주식회사 기판 가공 방법
WO2014165406A1 (en) * 2013-04-01 2014-10-09 Brewer Science Inc. Apparatus and method for thin wafer transfer
WO2014168578A1 (en) * 2013-04-10 2014-10-16 Applied Materials South East Asia Pte. Ltd. Wafer bonding total thickness variation improvement by contour confinement method
JP2015023137A (ja) * 2013-07-18 2015-02-02 株式会社ディスコ 剥離装置及び剥離方法
TWI610374B (zh) * 2013-08-01 2018-01-01 格芯公司 用於將搬運器晶圓接合至元件晶圓以及能以中段波長紅外光雷射燒蝕釋出之接著劑
JP6188495B2 (ja) * 2013-08-30 2017-08-30 富士フイルム株式会社 積層体及びその応用
JP6096085B2 (ja) * 2013-08-30 2017-03-15 富士フイルム株式会社 積層体およびその応用
JP6182491B2 (ja) * 2013-08-30 2017-08-16 富士フイルム株式会社 積層体およびその応用
US9315696B2 (en) 2013-10-31 2016-04-19 Dow Global Technologies Llc Ephemeral bonding
US9397051B2 (en) * 2013-12-03 2016-07-19 Invensas Corporation Warpage reduction in structures with electrical circuitry
DE102015200698A1 (de) * 2014-01-20 2015-07-23 Süss Microtec Lithography Gmbh System und Verfahren zum Halten eines Substrats
US9349629B2 (en) * 2014-01-23 2016-05-24 Lam Research Corporation Touch auto-calibration of process modules
WO2015116025A1 (en) 2014-01-28 2015-08-06 Hewlett-Packard Development Company, L.P. Flexible carrier
US9355881B2 (en) * 2014-02-18 2016-05-31 Infineon Technologies Ag Semiconductor device including a dielectric material
US9333735B2 (en) 2014-04-03 2016-05-10 Globalfoundries Inc. Methods for operating a debonder
EP3161862B1 (de) * 2014-06-27 2018-01-31 Thallner, Erich, Dipl.-Ing. Verfahren zum lösen eines ersten substrats
CN104051308B (zh) * 2014-06-27 2017-05-24 广东先导先进材料股份有限公司 一种晶片剥离装置、系统及控制晶片剥离的装置
US9475272B2 (en) 2014-10-09 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. De-bonding and cleaning process and system
US20160133486A1 (en) 2014-11-07 2016-05-12 International Business Machines Corporation Double Layer Release Temporary Bond and Debond Processes and Systems
US9865533B2 (en) 2014-12-24 2018-01-09 Medtronic, Inc. Feedthrough assemblies
US9968794B2 (en) 2014-12-24 2018-05-15 Medtronic, Inc. Implantable medical device system including feedthrough assembly and method of forming same
US10136535B2 (en) 2014-12-24 2018-11-20 Medtronic, Inc. Hermetically-sealed packages including feedthrough assemblies
US10124559B2 (en) 2014-12-24 2018-11-13 Medtronic, Inc. Kinetically limited nano-scale diffusion bond structures and methods
CN104503623A (zh) * 2015-01-06 2015-04-08 合肥鑫晟光电科技有限公司 触摸面板与显示模组的分离方法及系统
US9644118B2 (en) 2015-03-03 2017-05-09 Dow Global Technologies Llc Method of releasably attaching a semiconductor substrate to a carrier
KR20170126899A (ko) * 2015-03-11 2017-11-20 엔브이 베카에르트 에스에이 임시 결합된 웨이퍼용 캐리어
US10718606B2 (en) * 2015-04-17 2020-07-21 Nikon Corporation Determination of customized components for fitting wafer profile
US10052859B2 (en) * 2015-05-01 2018-08-21 Euna Park Apparatus and method for reclaiming curved and bendable display screens
US11183401B2 (en) 2015-05-15 2021-11-23 Suss Microtec Lithography Gmbh System and related techniques for handling aligned substrate pairs
US9640418B2 (en) 2015-05-15 2017-05-02 Suss Microtec Lithography Gmbh Apparatus, system, and method for handling aligned wafer pairs
CN105023850B (zh) * 2015-07-21 2018-02-23 华进半导体封装先导技术研发中心有限公司 全反射激光拆键合方法
US20180323096A1 (en) * 2015-11-03 2018-11-08 Board Of Regents, The University Of Texas System Systems and methods for passive alignment of semiconductor wafers
US10384433B2 (en) * 2015-12-11 2019-08-20 Suma Consulting and Investments, Inc. Apparatus and method to extract an object from a base surface using vibration
US10098589B2 (en) 2015-12-21 2018-10-16 Medtronic, Inc. Sealed package and method of forming same
TWI701708B (zh) 2016-02-24 2020-08-11 德商蘇士微科技印刷術股份有限公司 半導體接合設備及相關技術
WO2017168531A1 (ja) * 2016-03-28 2017-10-05 株式会社ニコン 基板貼り合わせ装置および基板貼り合わせ方法
CN107293504B (zh) * 2016-03-31 2020-05-01 上海微电子装备(集团)股份有限公司 键合加热控制装置及其方法
US10373858B2 (en) 2016-04-06 2019-08-06 Lam Research Corporation Chuck for edge bevel removal and method for centering a wafer prior to edge bevel removal
DE102016106351A1 (de) 2016-04-07 2017-10-12 Ev Group E. Thallner Gmbh Verfahren und Vorrichtung zum Bonden zweier Substrate
CN105789059B (zh) * 2016-04-19 2018-08-03 浙江中纳晶微电子科技有限公司 晶圆键合后分离的方法
USD815159S1 (en) * 2016-05-16 2018-04-10 Cost Effective Equipment Llc Mechanical debonder
JP6700130B2 (ja) * 2016-07-12 2020-05-27 東京エレクトロン株式会社 接合システム
CN107665848B (zh) * 2016-07-29 2020-08-25 上海微电子装备(集团)股份有限公司 一种解键合调平装置及解键合方法
JP6707420B2 (ja) * 2016-08-09 2020-06-10 東京エレクトロン株式会社 接合装置および接合システム
US10663434B2 (en) * 2017-03-31 2020-05-26 Sonix, Inc. Wafer chuck
JP6980421B2 (ja) * 2017-06-16 2021-12-15 株式会社ディスコ ウエーハの加工方法
US10381404B2 (en) 2017-08-07 2019-08-13 Globalfoundries Singapore Pte. Ltd. Integrated circuits with memory cells and methods for producing the same
US10403598B2 (en) 2017-08-11 2019-09-03 Micron Technology, Inc. Methods and system for processing semiconductor device structures
US10170443B1 (en) 2017-11-28 2019-01-01 International Business Machines Corporation Debonding chips from wafer
CN107946407A (zh) * 2017-11-29 2018-04-20 北京创昱科技有限公司 一种新型独立驱动的薄膜分离机构
WO2019106846A1 (ja) * 2017-12-01 2019-06-06 日立化成株式会社 半導体装置の製造方法、仮固定材用樹脂組成物、及び仮固定材用積層フィルム
KR102505213B1 (ko) * 2017-12-08 2023-03-03 삼성전자주식회사 분리용 전자 장치 및 이의 공정 방법
US10665494B2 (en) 2018-01-31 2020-05-26 Applied Materials, Inc. Automated apparatus to temporarily attach substrates to carriers without adhesives for processing
JP7042667B2 (ja) * 2018-03-28 2022-03-28 古河電気工業株式会社 半導体チップの製造方法
CN110349878A (zh) * 2018-04-02 2019-10-18 锡宬国际有限公司 薄型晶圆前端处理设备与应用其的薄型晶圆前端处理方法
TWI681500B (zh) * 2018-10-26 2020-01-01 志聖工業股份有限公司 晶圓加工機台及其加工方法
CN109273389A (zh) * 2018-11-01 2019-01-25 苏州展德自动化设备有限公司 晶圆保护纸自动剥离设备
US10576585B1 (en) 2018-12-29 2020-03-03 Cree, Inc. Laser-assisted method for parting crystalline material
CN111385917B (zh) * 2018-12-29 2022-07-15 中微半导体设备(上海)股份有限公司 一种用于组装esc的多平面多路可调节温度的加热器
US10562130B1 (en) 2018-12-29 2020-02-18 Cree, Inc. Laser-assisted method for parting crystalline material
US11024501B2 (en) * 2018-12-29 2021-06-01 Cree, Inc. Carrier-assisted method for parting crystalline material along laser damage region
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR20210072392A (ko) 2019-12-09 2021-06-17 쓰리엠 이노베이티브 프로퍼티즈 컴파니 접착 필름
US11107716B1 (en) * 2020-02-06 2021-08-31 Pyxis Cf Pte. Ltd. Automation line for processing a molded panel
CN111916384B (zh) * 2020-08-17 2022-05-17 鑫天虹(厦门)科技有限公司 一种键合机对准模块和键合机
US11996384B2 (en) * 2020-12-15 2024-05-28 Pulseforge, Inc. Method and apparatus for debonding temporarily bonded wafers in wafer-level packaging applications
CN116868309A (zh) * 2021-02-04 2023-10-10 三菱电机株式会社 半导体基板的制造方法及半导体装置的制造方法
US20230067088A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature controllable bonder equipment for substrate bonding
CN113838777B (zh) * 2021-09-03 2023-08-25 北京中科镭特电子有限公司 一种激光解键合的检测控制系统
KR20230114922A (ko) * 2022-01-26 2023-08-02 주식회사 엘지화학 반도체 공정용 점착 조성물, 이를 포함하는 반도체 공정용 필름 및 이를 이용한 반도체 패키지 제조 방법
CN115291477B (zh) * 2022-08-26 2023-07-25 苏师大半导体材料与设备研究院(邳州)有限公司 一种半导体制造晶圆光刻设备
CN115939008B (zh) * 2023-01-06 2023-05-30 无锡先为科技有限公司 晶片校正机构及半导体制造设备
CN116825698A (zh) * 2023-08-07 2023-09-29 江苏双晶新能源科技有限公司 一种用于脱胶机自动装载料盒的操作方法

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4046985A (en) * 1974-11-25 1977-09-06 International Business Machines Corporation Semiconductor wafer alignment apparatus
US5427644A (en) * 1993-01-11 1995-06-27 Tokyo Seimitsu Co., Ltd. Method of manufacturing semiconductor wafer and system therefor
JP2862754B2 (ja) * 1993-04-19 1999-03-03 東京エレクトロン株式会社 処理装置及び回転部材
US5466325A (en) * 1993-06-02 1995-11-14 Nitto Denko Corporation Resist removing method, and curable pressure-sensitive adhesive, adhesive sheets and apparatus used for the method
KR0165467B1 (ko) * 1995-10-31 1999-02-01 김광호 웨이퍼 디본더 및 이를 이용한 웨이퍼 디본딩법
CA2232796C (en) * 1997-03-26 2002-01-22 Canon Kabushiki Kaisha Thin film forming process
US6149758A (en) * 1997-06-20 2000-11-21 Lintec Corporation Sheet removing apparatus and method
US6540861B2 (en) * 1998-04-01 2003-04-01 Canon Kabushiki Kaisha Member separating apparatus and processing apparatus
US6672358B2 (en) * 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
JP2000150836A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
DE60044196D1 (de) * 1999-04-29 2010-05-27 Peter James Brian Lamb Vorrichtung zum absetzen eines nicht fliessfähigenamentes in einer vagina
US6221740B1 (en) * 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
DE10008111A1 (de) * 2000-02-22 2001-08-23 Krauss Maffei Kunststofftech Vorrichtung zum Vakuumpressen von DVD-Substraten
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US6827092B1 (en) * 2000-12-22 2004-12-07 Lam Research Corporation Wafer backside plate for use in a spin, rinse, and dry module and methods for making and implementing the same
AT502233B1 (de) * 2001-06-07 2007-04-15 Thallner Erich Vorrichtung zum lösen eines trägers von einer halbleiterscheibe
US6638835B2 (en) 2001-12-11 2003-10-28 Intel Corporation Method for bonding and debonding films using a high-temperature polymer
JP3918556B2 (ja) 2001-12-28 2007-05-23 三菱電機株式会社 貼付けウエハ分離装置および貼付けウエハ分離方法
US7367773B2 (en) * 2002-05-09 2008-05-06 Maxtor Corporation Apparatus for combining or separating disk pairs simultaneously
CN1703773B (zh) * 2002-06-03 2011-11-16 3M创新有限公司 层压体以及用该层压体制造超薄基片的方法和设备
JP4565804B2 (ja) 2002-06-03 2010-10-20 スリーエム イノベイティブ プロパティズ カンパニー 被研削基材を含む積層体、その製造方法並びに積層体を用いた極薄基材の製造方法及びそのための装置
US7187162B2 (en) * 2002-12-16 2007-03-06 S.O.I.Tec Silicon On Insulator Technologies S.A. Tools and methods for disuniting semiconductor wafers
JP2005026608A (ja) * 2003-07-02 2005-01-27 Tokyo Electron Ltd 接合方法および接合装置
JP2005051055A (ja) 2003-07-29 2005-02-24 Tokyo Electron Ltd 貼合せ方法および貼合せ装置
JP4592270B2 (ja) * 2003-10-06 2010-12-01 日東電工株式会社 半導体ウエハの支持材からの剥離方法およびこれを用いた装置
JP4130167B2 (ja) * 2003-10-06 2008-08-06 日東電工株式会社 半導体ウエハの剥離方法
JP2006316078A (ja) * 2003-10-17 2006-11-24 Lintec Corp 接着テープの剥離方法及び剥離装置
US20050150597A1 (en) * 2004-01-09 2005-07-14 Silicon Genesis Corporation Apparatus and method for controlled cleaving
JP4326418B2 (ja) * 2004-07-16 2009-09-09 株式会社東京精密 フィルム剥離方法およびフィルム剥離装置
WO2006020685A2 (en) * 2004-08-11 2006-02-23 Cornell Research Foundation, Inc. Modular fabrication systems and methods
JP4792719B2 (ja) * 2004-08-25 2011-10-12 東京エレクトロン株式会社 成膜装置及び成膜方法
JP4401322B2 (ja) * 2005-04-18 2010-01-20 日東電工株式会社 支持板分離装置およびこれを用いた支持板分離方法
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US7589406B2 (en) 2005-06-27 2009-09-15 Micron Technology, Inc. Stacked semiconductor component
DE102005055769A1 (de) 2005-11-21 2007-05-24 Tesa Ag Verfahren zur temporären Fixierung eines polymeren Schichtmaterials auf rauen Oberflächen
JP4781802B2 (ja) * 2005-12-06 2011-09-28 東京応化工業株式会社 サポートプレートの貼り合わせ手段及び貼り合わせ装置、並びにサポートプレートの貼り合わせ方法
JP4687566B2 (ja) * 2006-05-24 2011-05-25 ティアック株式会社 ディスク装置
DE102006031434B4 (de) 2006-07-07 2019-11-14 Erich Thallner Handhabungsvorrichtung sowie Handhabungsverfahren für Wafer
JP4666514B2 (ja) * 2006-07-20 2011-04-06 リンテック株式会社 シート剥離装置及び剥離方法
JP5461760B2 (ja) * 2006-07-26 2014-04-02 株式会社カネカ 半導体製造装置及び半導体製造方法
US20080200011A1 (en) 2006-10-06 2008-08-21 Pillalamarri Sunil K High-temperature, spin-on, bonding compositions for temporary wafer bonding using sliding approach
JP2008153337A (ja) * 2006-12-15 2008-07-03 Tokyo Electron Ltd 貼り合せ基板の分離方法、貼り合せ基板の分離装置及びプログラムを記録したコンピュータ読み取り可能な記録媒体
JP2008182016A (ja) * 2007-01-24 2008-08-07 Tokyo Electron Ltd 貼り合わせ装置、貼り合わせ方法
US20080302481A1 (en) 2007-06-07 2008-12-11 Tru-Si Technologies, Inc. Method and apparatus for debonding of structures which are bonded together, including (but not limited to) debonding of semiconductor wafers from carriers when the bonding is effected by double-sided adhesive tape
JP5788173B2 (ja) 2007-06-25 2015-09-30 ブルーワー サイエンス アイ エヌシー. 高温スピンオン仮接合用組成物
FR2925978B1 (fr) * 2007-12-28 2010-01-29 Commissariat Energie Atomique Procede et dispositif de separation d'une structure.
EP2238618B1 (en) 2008-01-24 2015-07-29 Brewer Science, Inc. Method for reversibly mounting a device wafer to a carrier substrate
DE102008018536B4 (de) 2008-04-12 2020-08-13 Erich Thallner Vorrichtung und Verfahren zum Aufbringen und/oder Ablösen eines Wafers auf einen/von einem Träger

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101454077B1 (ko) * 2012-04-25 2014-10-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 캐리어 웨이퍼를 갖는 웨이퍼 어셈블리
US9111982B2 (en) 2012-04-25 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer assembly with carrier wafer
US9601324B2 (en) 2012-04-25 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making wafer assembly
US8945344B2 (en) 2012-07-20 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of separating bonded wafers
KR20140088680A (ko) * 2013-01-03 2014-07-11 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
KR20160032207A (ko) * 2013-07-17 2016-03-23 수스 마이크로텍 리소그라피 게엠바하 웨이퍼의 정렬 및 중심맞춤을 위한 장치 및 방법
KR20220111408A (ko) * 2021-02-02 2022-08-09 주식회사 플래닝썬 발포 테이프를 이용한 박형 웨이퍼 취급 방법

Also Published As

Publication number Publication date
US8181688B2 (en) 2012-05-22
US8267143B2 (en) 2012-09-18
JP5439583B2 (ja) 2014-03-12
WO2010121068A2 (en) 2010-10-21
EP2419928A2 (en) 2012-02-22
US20110014774A1 (en) 2011-01-20
US20110010908A1 (en) 2011-01-20
US8919412B2 (en) 2014-12-30
US9281229B2 (en) 2016-03-08
US20100266373A1 (en) 2010-10-21
WO2010121068A3 (en) 2011-01-13
CN102460677A (zh) 2012-05-16
JP2014099624A (ja) 2014-05-29
US8764026B2 (en) 2014-07-01
US20100263794A1 (en) 2010-10-21
JP2012524399A (ja) 2012-10-11
US20150083342A1 (en) 2015-03-26

Similar Documents

Publication Publication Date Title
US9281229B2 (en) Method for thermal-slide debonding of temporary bonded semiconductor wafers
US8366873B2 (en) Debonding equipment and methods for debonding temporary bonded wafers
US8343300B2 (en) Automated thermal slide debonder
JP4781802B2 (ja) サポートプレートの貼り合わせ手段及び貼り合わせ装置、並びにサポートプレートの貼り合わせ方法
KR101193308B1 (ko) 서포트플레이트의 첩부장치
US9064686B2 (en) Method and apparatus for temporary bonding of ultra thin wafers
JP2012524399A5 (ko)
JP7319724B2 (ja) 接合方法および接合装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid