WO2023191981A1 - Empilement bicouche pour une jonction tunnel ferroélectrique et procédé de formation - Google Patents

Empilement bicouche pour une jonction tunnel ferroélectrique et procédé de formation Download PDF

Info

Publication number
WO2023191981A1
WO2023191981A1 PCT/US2023/013669 US2023013669W WO2023191981A1 WO 2023191981 A1 WO2023191981 A1 WO 2023191981A1 US 2023013669 W US2023013669 W US 2023013669W WO 2023191981 A1 WO2023191981 A1 WO 2023191981A1
Authority
WO
WIPO (PCT)
Prior art keywords
oxide film
metal oxide
hafnium
zirconium
substrate
Prior art date
Application number
PCT/US2023/013669
Other languages
English (en)
Inventor
Steven CONSIGLIO
Kandabara Tapily
Robert Clark
Dina Triyoso
Original Assignee
Tokyo Electron Limited
Tokyo Electron U.S. Holdings, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/706,958 external-priority patent/US20220223608A1/en
Application filed by Tokyo Electron Limited, Tokyo Electron U.S. Holdings, Inc. filed Critical Tokyo Electron Limited
Publication of WO2023191981A1 publication Critical patent/WO2023191981A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer

Definitions

  • the present invention relates to semiconductor processing and semiconductor devices, and more particularly, to substrate processing methods for forming dielectric materials with selected polarization for capacitor devices.
  • Ferroelectric tunnel junctions are candidate devices for application of artificial synapses in neural networks.
  • FTJs utilize a thin ferroelectric layer sandwiched between two electrodes, which allows electron tunneling through the ferroelectric layer.
  • the two different polarization states are used to alter the potential landscape and therefore change the tunneling transmission coefficient and give the possibility of exhibiting multi-level resistance values through nucleation and propagation of domains of opposed polarity.
  • Embodiments of the invention describe formation of a metal-ferroelectric-dielectric- metal capacitor device that can function as a novel ferroelectric tunnel junction compatible with standard semiconductor fabrication processes.
  • the device includes a bilayer stack with a linear dielectric film and a ferroelectric film, where the device operation can rely on polarization reversal of the ferroelectric film and electron tunneling through the thin linear dielectric film.
  • a relatively thick ferroelectric film can be used in the bilayer stack since the tunneling current is controlled by the thin linear dielectric film.
  • a method of forming a bilayer stack for a ferroelectric tunnel junction includes depositing a first metal oxide film on a substrate by performing a first plurality of cycles of atomic layer deposition, where the first metal oxide film contains hafnium oxide (HfCh), zirconium oxide (ZrCh), or both hafnium oxide and zirconium oxide.
  • the method further includes depositing a second metal oxide film on the substrate by performing a second plurality of cycles of atomic layer deposition, where the second metal oxide film contains hafnium oxide and zirconium oxide, and has a different hafnium oxide and zirconium oxide content than the first metal oxide film.
  • the method further includes heat-treating the first and second metal oxide films, where a ferroelectric phase is formed in the second metal oxide film but not in the first metal oxide film.
  • a bilayer stack for a ferroelectric tunnel junction includes a first metal oxide film containing hafnium oxide, zirconium oxide, or both hafnium oxide and zirconium oxide, and a second metal oxide film containing hafnium oxide and zirconium oxide, where the second metal oxide film is ferroelectric and the first metal oxide film is a linear dielectric.
  • FIG. l is a flowchart of an example method of manufacturing a bilayer stack according to an embodiment of the invention.
  • FIGS. 2A - 2D show schematic cross-sectional views of an example film structure containing a bilayer stack with a linear dielectric film and a ferroelectric film according to an embodiment of the invention.
  • FIG. 3 A - 3D schematically show gas flow diagrams for depositing metal oxide films according to embodiments of the invention.
  • Embodiments of the invention describe formation of a metal-ferroelectric-dielectric- metal capacitor device that can find application as a ferroelectric tunnel junction and is compatible with conventional semiconductor fabrication processes.
  • the film structure of the capacitor device includes a bilayer stack containing a first metal oxide film and a second metal oxide film.
  • the first metal oxide film is not ferroelectric but is linearly polarizable, and the second metal oxide film is ferroelectric.
  • this film structure is achieved by depositing a bilayer stack of metal oxide films with different HfCb content and ZrCb content.
  • the combination of the different metal oxide films allows for a thickness of the second metal oxide film to be greater than a thickness of the first metal oxide film.
  • a thickness of the first metal oxide film can be about 1.5nm, or less.
  • a method in flowchart 1 includes, in 100, providing, in a process chamber, a substrate 2 containing base layer 200 and a first metal-containing electrode layer 205 on the base layer 200.
  • the metal-containing electrode layer 205 may be in direct physical contact with the base layer 200.
  • the process chamber may be configured to perform atomic layer deposition (ALD) of a dielectric material on the substrate 2.
  • ALD atomic layer deposition
  • the base layer 200 may, for example, include a semiconductor material, including silicon, germanium, silicon germanium, silicon germanium carbide, gallium arsenic phosphide, gallium indium phosphide, silicon carbide, gallium arsenide, indium arsenide, or indium phosphide.
  • the base layer 200 may have an epitaxial layer overlying a bulk semiconductor.
  • the base layer 200 may include a semiconductor-on-insulator (SOI) structure.
  • the first metal-containing electrode layer 205 can for example, contain titanium nitride (TiN), tantalum nitride (TaN), or other electrically conductive metal-containing layer and metal layers.
  • the method further includes, in 110, forming a first metal oxide film 210 on the substrate 2 by performing a first plurality of cycles of ALD.
  • the resulting first metal oxide film 210 has a chemical composition that may be described as mol% HfO2 and mol% ZrO2.
  • the first metal oxide film 210 may include a laminate of alternating HfCb and ZrCb layers, or a solid solution of a mixture of HfCb and ZrCb.
  • FIG. 3 A - 3D schematically show gas flow diagrams for depositing metal oxide films according to embodiments of the invention.
  • the first plurality of cycles of ALD can include x number of cycles of sequential gaseous exposures of a Hf precursor, a purge gas, an oxidizer, and a purge gas to deposit a layer of HfCb on the substrate 2.
  • Each exposure of the Hf precursor and the oxidizer can be performed for a time period that results in a saturation exposure on a surface of the substrate 2 and the purge gas exposure removes unreacted reactants and by-products from the process chamber and prevents gas phase mixing of the Hf precursor and the oxidizer.
  • Each cycle deposits one atomic layer or less of HfCb, and the x number of cycles may be selected in order to accurately control the HfCb layer thickness.
  • Steric hindrance of ligands in the Hf precursor and the oxidizer, and a limited number of bonding sites, can limit the chemisorption on the substrate surface, and therefore the HfCb film growth per cycle can remain at less than one atomic layer.
  • the first plurality of cycles of ALD can include y number of cycles of sequential gaseous exposures of a Zr precursor, a purge gas, an oxidizer, and a purge gas to deposit a layer of ZrCb on the substrate 2.
  • Each exposure of the Zr precursor and the oxidizer can be performed for a time period that results in a saturation exposure on a surface of the substrate 2 and the purge gas exposure removes unreacted reactants and by-products from the process chamber and prevents gas phase mixing of the Zr precursor and the oxidizer.
  • Each cycle deposits one atomic layer or less of ZrCb, and the y number of cycles may be selected in order to accurately control the ZrCb layer thickness.
  • a hafnium zirconium oxide film may be deposited by sequentially performing x number of HfCb ALD cycles and y number of ZrCb ALD cycles in a supercycle that may be repeated n times to increase the number of alternating HfCb and ZrCb layers in the laminate that forms the first metal oxide film 210.
  • the gas flow diagram in FIG. 3C schematically shows the formation of a HfCb layer before the formation of a ZrCb layer on the HfCb layer.
  • other embodiments contemplate the formation of a ZrCb layer before the formation of a HfCb layer on the ZrCb layer.
  • a hafnium zirconium oxide film may be deposited where the first plurality of cycles of ALD can include n number of cycles of sequential gaseous exposures of a mixture of a Hf precursor and a Zr precursor, a purge gas, an oxidizer, and a purge gas.
  • Each co-exposure of the Hf and Zr precursors and the oxidizer exposure can be performed for a time period that results in a saturation exposure.
  • Each cycle deposits one atomic layer or less of a mixture of HfCh and ZrCh, and the n number of cycles may be selected in order to accurately control the film thickness.
  • the composition of the first metal film 210 which comprises a solid solution of a mixture of HfCh and ZrCh, may be selected by independently controlling the flow rates of the Hf precursor and the Zr precursor that form the mixture that is exposed to the substrate 2.
  • the first metal oxide film 210 is not ferroelectric but is linearly polarizable in the presence of an external electric field.
  • HfCh films and ZrCh films are not ferroelectric, and the lack of ferroelectricity in hafnium zirconium oxide films is due to a HfCh content or a ZrCh content that is below a threshold value needed for ferroelectric phase formation in the first metal oxide film 210 after deposition on the substrate 2 or after a subsequent heat-treating step at an elevated substrate temperature.
  • the HfCh content or the ZrCh content can be less than about 25 mol%.
  • the HfCh content can be between about 10 mol% and about 20 mol%, and balance ZrCh.
  • the ZrCh content can be between about 10 mol% and about 20 mol%, and balance HfCh.
  • the HfCh content can be less than about 10 mol%, and balance ZrCh.
  • the ZrCh content can be less than about 10 mol%, and balance HfCh.
  • an optional heat-treating process may be performed on the first metal oxide film 210 using a predetermined substrate temperature and time period.
  • the heat-treating may be performed at a substrate temperature between about 400°C and about 900°C, between about 200°C and about 500°C, between about 200°C and about 300°C, between about 300°C and about 400°C, or between about 400°C and about 500°C.
  • the heat-treating may be performed at a substrate temperature of about 500°C, or lower.
  • the heat- treating may be performed in the same process chamber as the deposition of the first metal oxide film 210.
  • the heat-treating may be formed in a different process chamber than the deposition of the first metal oxide film 210.
  • the heat-treating may be performed under vacuum conditions in the presence of an inert gas, for example argon (Ar) or nitrogen (N2).
  • the first metal oxide film 210 may be heat-treated after one or more cycles of the atomic layer deposition, before deposition of the entire first metal oxide film 210.
  • the heat-treating may be performed before the entire first metal oxide film 210 has been deposited.
  • the method further includes, in 120, forming a second metal oxide film 220 on the substrate 2 by performing a second plurality of cycles of atomic layer deposition (ALD).
  • the resulting second metal oxide film 220 contains HfCh and ZrCh and has a chemical composition that may be described as mol% HfCh and mol% ZrCh.
  • the second metal oxide film 220 may include a laminate of alternating HfCh and ZrCh layers, or a solid solution of a mixture of HfCh and ZrCh.
  • the second metal oxide film 220 may be formed as described above for the first metal oxide film 210, including as described in FIG. 3C and 3D. However, the second metal oxide film 220 has a different chemical composition than the first metal oxide film 210.
  • the second metal oxide film 220 has a HfCh content or a ZrCh content that is above a threshold value needed for ferrolectric phase formation in the second metal oxide film 220 after deposition on the substrate 2 or after a subsequent heat-treating step at an elevated temperature. Accordingly, the second metal oxide film 220 is ferroelectric. According to one embodiment, the HfCh content and the ZrCh content are both greater than about 25 mol%. Thus, the HfCh content can be greater than about 25 mol%, balance ZrCh, or the ZrCh content can be greater than about 25 mol%, balance HfCh.
  • HfCh content ZrCh content of about 30mol% : about 70mol%, about 40mol% : about 60mol%, about 50mol% : about 50mol%, about 60mol% : about 40mol%, or about 70mol% : about 30mol%.
  • a heat-treating process is performed in 130 on the first and second metal oxide films 210, 220 using a predetermined substrate temperature and time period.
  • the heat-treating establishes a ferroelectric phase in the second metal oxide film 220 but the first metal oxide film 210 remains a liner dielectric without a ferroelectric phase.
  • the heat-treating may be performed at a substrate temperature between about 400°C and about 900°C, between about 200°C and about 500°C, between about 200°C and about 300°C, between about 300°C and about 400°C, or between about 400°C and about 500°C.
  • the heat-treating may be performed in the same process chamber as the deposition of the first and second metal oxide films 210, 220. In another example, the heat-treating may be formed in a different process chamber than the deposition of the first and second metal oxide films 210, 220.
  • the heat- treating may be performed under vacuum conditions in the presence of an inert gas, for example argon (Ar) or nitrogen (N2).
  • an inert gas for example argon (Ar) or nitrogen (N2).
  • the first metal oxide film 210 is not heat-treated prior to depositing the second metal oxide film 220 on the first metal oxide film 210.
  • the first metal oxide film 210 and the second metal oxide film 220 may be deposited on the substrate 2 in any order.
  • the first metal oxide film 210 is deposited with direct contact with the first metal-containing electrode layer 205, and, thereafter the second metal oxide film 220 is deposited with direct physical contact with an upper surface of the first metal oxide film 210.
  • the second metal oxide film 220 is deposited on the first metal-containing electrode layer 205, and, thereafter the first metal oxide film 210 is deposited with direct physical contact with an upper surface of the second metal oxide film 220.
  • the first metal oxide film 210 and the second metal oxide film 220 differ in HfCb content, ZrCh content, and film thickness.
  • the difference in HfCb content, ZrCh content, and film thickness is easily achieved by the plurality of cycles of ALD described above and schematically shown in FIGS. 3 A - 3D.
  • the x number of HfCb ALD cycles and the y number of ZrCh ALD cycles in FIG. 3C form a laminate of alternating HfCb and ZrCh layers, where the number of HfCh ALD cycles relative to the number of ZrCh ALD cycles may be used to select the chemical composition.
  • the relative flow rates of a Hf precursor and a Zr precursor in a precursor mixture may be used to achieve the desired chemical composition.
  • the same hafnium precursor, zirconium precursor, oxidizer, and purge gas may be used to deposit both the first metal oxide film 210 and the second metal oxide film 220.
  • the first metal oxide film 210 may be deposited by any of the gas flow diagram in FIGS. 3 A - 3D.
  • the second metal oxide film 220 may either be deposited by the gas flow diagram in FIG. 3C or by the gas flow diagram in FIG. 3D.
  • both the first and second metal oxide films 210, 220 may be deposited by the gas flow diagram in FIG. 3C.
  • both the first and second metal oxide films 210, 220 may be deposited by the gas flow diagram in FIG. 3D.
  • the first metal oxide film 210 may be deposited by the gas flow diagrams in FIG. 3 A or 3B and the second metal oxide film 220 may be deposited by the gas flow diagram in FIG.
  • a second metal-containing electrode layer 225 may be deposited on the second metal oxide film 220. This is schematically shown in FIG. 2D.
  • the second metal-containing electrode layer 225 can for example, contain titanium nitride (TiN), tantalum nitride (TaN), or other electrically conductive metal-containing layer and metal layers.
  • Embodiments of the invention may utilize a wide variety of zirconium (Zr) and hafnium (Hf) precursors for the vapor phase deposition.
  • Zr zirconium
  • Hf hafnium
  • representative examples include: Zr(O’Bu)4 (zirconium tert-butoxide, ZTB), Zr(NEt2)4 (tetrakis(diethylamido)zirconium, TDEAZ), Zr(NMeEt)4 (tetrakis(ethylmethylamido)zirconium, TEMAZ), Zr(NMe2)4 (tetrakis(dimethylamido)zirconium, TDMAZ), Hf(O l Bu)4 (hafnium tert-butoxide, HTB), Hf(NEt2)4 (tetrakis(diethylamido)hafnium, TDEAH), Hf(NEtMe)4 (tetrakis(eth
  • tris(dimethylaminocyclopentadienylhafnium (HfCp(NMe2)3) available from Air Liquide as HyALDTM may be used as a hafnium precursor and tris(dimethylaminocyclopentadienylzirconinum (ZrCp(NMe2)3) available from Air Liquide as ZyALDTM may be used as a zirconium precursor.
  • the oxidizer may include an oxygencontaining gas, including plasma-excited O2, water (H2O), or ozone (O3).
  • a bilayer stack of the first metal oxide film 210 and the second metal oxide film 220 may be used in a metal-ferroelectric-dielectric-metal capacitor device as schematically shown in FIG. 2D.
  • the bilayer stack includes the relatively thick ferroelectric layer of the second metal oxide film 220 and the thinner linear dielectric layer of the first metal oxide film 210 that controls the tunneling current of the device.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Biophysics (AREA)
  • Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Evolutionary Computation (AREA)
  • Molecular Biology (AREA)
  • Artificial Intelligence (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Health & Medical Sciences (AREA)
  • Neurology (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Non-Volatile Memory (AREA)

Abstract

Empilement bicouche pour une jonction tunnel ferroélectrique et procédé de formation. Le procédé consiste à déposer un premier film d'oxyde métallique sur un substrat par réalisation d'une première pluralité de cycles de dépôt de couche atomique, le premier film d'oxyde métallique contenant de l'oxyde d'hafnium, de l'oxyde de zirconium ou à la fois de l'oxyde d'hafnium et de l'oxyde de zirconium, à déposer un second film d'oxyde métallique sur le substrat par réalisation d'une seconde pluralité de cycles de dépôt de couche atomique, le second film d'oxyde métallique contenant de l'oxyde d'hafnium et de l'oxyde de zirconium, et ayant une teneur différente en oxyde d'hafnium et en oxyde de zirconium par rapport au premier film d'oxyde métallique, et à traiter thermiquement le substrat pour former une phase ferroélectrique dans le second film d'oxyde métallique, mais pas dans le premier film d'oxyde métallique. Une jonction tunnel ferroélectrique comprend une première électrode contenant du métal, le premier film d'oxyde métallique, le second film d'oxyde métallique et une seconde électrode contenant du métal.
PCT/US2023/013669 2022-03-29 2023-02-23 Empilement bicouche pour une jonction tunnel ferroélectrique et procédé de formation WO2023191981A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/706,958 2022-03-29
US17/706,958 US20220223608A1 (en) 2020-08-10 2022-03-29 Bilayer dielectric stack for a ferroelectric tunnel junction and method of forming

Publications (1)

Publication Number Publication Date
WO2023191981A1 true WO2023191981A1 (fr) 2023-10-05

Family

ID=88203333

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2023/013669 WO2023191981A1 (fr) 2022-03-29 2023-02-23 Empilement bicouche pour une jonction tunnel ferroélectrique et procédé de formation

Country Status (2)

Country Link
TW (1) TW202349463A (fr)
WO (1) WO2023191981A1 (fr)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190198638A1 (en) * 2017-12-22 2019-06-27 Imec Vzw Method of fabricating ferroelectric field-effect transistor
US20200020762A1 (en) * 2018-07-11 2020-01-16 International Business Machines Corporation Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures
WO2021254989A1 (fr) * 2020-06-17 2021-12-23 Merck Patent Gmbh Films contenant du hf-zr intrinsèquement ferroélectriques
US20220044922A1 (en) * 2020-08-10 2022-02-10 Tokyo Electron Limited Methods for forming dielectric materials with selected polarization for semiconductor devices
US20220223608A1 (en) * 2020-08-10 2022-07-14 Tokyo Electron Limited Bilayer dielectric stack for a ferroelectric tunnel junction and method of forming

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190198638A1 (en) * 2017-12-22 2019-06-27 Imec Vzw Method of fabricating ferroelectric field-effect transistor
US20200020762A1 (en) * 2018-07-11 2020-01-16 International Business Machines Corporation Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures
WO2021254989A1 (fr) * 2020-06-17 2021-12-23 Merck Patent Gmbh Films contenant du hf-zr intrinsèquement ferroélectriques
US20220044922A1 (en) * 2020-08-10 2022-02-10 Tokyo Electron Limited Methods for forming dielectric materials with selected polarization for semiconductor devices
US20220223608A1 (en) * 2020-08-10 2022-07-14 Tokyo Electron Limited Bilayer dielectric stack for a ferroelectric tunnel junction and method of forming

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
MCBRIARTY MARTIN E., NARASIMHAN VIJAY K., WEEKS STEPHEN L., PAL ASHISH, FANG HUAZHI, PETACH TREVOR A., MEHTA APURVA, DAVIS RYAN C.: "Crystal Phase Distribution and Ferroelectricity in Ultrathin HfO2–ZrO2 Bilayers", PHYSICA STATUS SOLIDI B, AKADEMIE VERLAG, BERLIN., DE, vol. 257, no. 1, 1 January 2020 (2020-01-01), DE , pages 1900285, XP093028441, ISSN: 0370-1972, DOI: 10.1002/pssb.201900285 *

Also Published As

Publication number Publication date
TW202349463A (zh) 2023-12-16

Similar Documents

Publication Publication Date Title
US9627501B2 (en) Graded dielectric structures
US20220223608A1 (en) Bilayer dielectric stack for a ferroelectric tunnel junction and method of forming
KR101505693B1 (ko) 지르코늄 치환된 티탄산바륨 게이트 유전체
US7396719B2 (en) Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
US9246096B2 (en) Atomic layer deposition of metal oxides for memory applications
KR100705926B1 (ko) 반도체 소자의 캐패시터 제조방법
USRE43025E1 (en) Mixed composition interface layer and method of forming
US7888726B2 (en) Capacitor for semiconductor device
US8546275B2 (en) Atomic layer deposition of hafnium and zirconium oxides for memory applications
JP2005534180A (ja) 金属絶縁体金属キャパシタのインシトゥ形成
US7279392B2 (en) Thin film structure, capacitor, and methods for forming the same
WO2023191981A1 (fr) Empilement bicouche pour une jonction tunnel ferroélectrique et procédé de formation
Lee et al. Atomic layer deposition: an enabling technology for microelectronic device manufacturing
US11462398B2 (en) Ligand selection for ternary oxide thin films
WO2024086529A1 (fr) Procédé de fabrication d'un dispositif ferroélectrique
TW202212550A (zh) 用於鐵電記憶體之無碳的疊層氧化鉿/氧化鋯膜
Vehkamäki Atomic layer deposition of multicomponent oxide materials
KR20240062562A (ko) 반도체 장치 및 그 제조 방법
TW202311551A (zh) 形成含有過渡金屬及13族元素之層的方法
JP2022541886A (ja) 準化学量論的金属酸化物薄膜
KR20210132576A (ko) 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
Ahn et al. Zr-substituted BaTiO 3 films
Song et al. Method of forming a ZrO2 thin film using plasma enhanced atomic layer deposition and method of fabricating a capacitor of a semiconductor memory device having the same film

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23781548

Country of ref document: EP

Kind code of ref document: A1