JP2022541886A - 準化学量論的金属酸化物薄膜 - Google Patents

準化学量論的金属酸化物薄膜 Download PDF

Info

Publication number
JP2022541886A
JP2022541886A JP2022502109A JP2022502109A JP2022541886A JP 2022541886 A JP2022541886 A JP 2022541886A JP 2022502109 A JP2022502109 A JP 2022502109A JP 2022502109 A JP2022502109 A JP 2022502109A JP 2022541886 A JP2022541886 A JP 2022541886A
Authority
JP
Japan
Prior art keywords
metal
pulse
precursor
ald
ligand
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022502109A
Other languages
English (en)
Other versions
JPWO2021014266A5 (ja
JP7493580B2 (ja
Inventor
ローゼン、ジョン
マイケル フランク、マーティン
洋平 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2022541886A publication Critical patent/JP2022541886A/ja
Publication of JPWO2021014266A5 publication Critical patent/JPWO2021014266A5/ja
Application granted granted Critical
Publication of JP7493580B2 publication Critical patent/JP7493580B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • H10B63/845Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays the switching components being connected to a common vertical conductor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/028Formation of switching materials, e.g. deposition of layers by conversion of electrode material, e.g. oxidation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/823Device geometry adapted for essentially horizontal current flow, e.g. bridge type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本発明の実施形態は、改変された原子層堆積(ALD)プロセスを使用して準化学量論的金属酸化物膜を形成することに関する。本発明の非限定的実施形態では、第1の前駆体および第2の前駆体が選択される。第1の前駆体は、金属および第1のリガンドを含み得る。第2の前駆体は、同じ金属および第2のリガンドを含み得る。基板は、ALDサイクルの第1のパルスの間、第1の前駆体に曝露され得る。基板は、ALDサイクルの第2のパルスの間、第2の前駆体に曝露され得る。第2のパルスは、熱オキシダントの介在なく、第1のパルスの直後に生じ得る。基板は、ALDサイクルの第3のパルスの間に熱オキシダントに曝露され得る。

Description

本発明は、一般に、膜堆積技術に関する。より具体的には、本発明は、準化学量論的金属酸化物薄膜の堆積に関する。
半導体産業は、所与の半導体チップでのより大きく、より複雑な回路の作製に向かう傾向を特徴とする。より大きく、より複雑な回路は、回路内の個々のデバイスのサイズを低減し、デバイス同士の間隔をより近づけることによって達成される。近年、高誘電率(高k)材料が、例えば、半導体メモリデバイスのメモリセルを作製するのに使用されるCMOS作製技術を含む技術水準のCMOS作製技術において、二酸化ケイ素に代えて絶縁層として徐々に使われだしている。例えば酸化ジルコニウム(ZrO)は、約24~40の誘電率を有する。益々小さくなるデバイスのスケーリング要件を満たすために、これらの高k膜は、より一層低い厚みレベルに堆積されなくてはならない。
原子層堆積(ALD)は、薄膜堆積に特化した堆積技術である。ALDの間、基板表面を典型的には前駆体と呼ばれる交互ガス種に曝露することによって、膜が基板上で層毎に成長する。前駆体は、一連の順次のオーバーラップしないパルスの間に堆積される。これらのパルスの各々において、前駆体分子は、自己限定的に表面と反応するため、表面のすべての反応部位が一旦消費されると反応は終了する。その結果、すべての前駆体への一通りの曝露(いわゆるALDサイクル)後に表面に堆積した材料の最大量は、前駆体-表面相互作用の性質によって決定される。サイクル数を変更することによって、任意に複雑で大きな基板に均一に、高い正確性で材料を成長させることが可能である。
本発明の実施形態は、改変された原子層堆積(ALD)プロセスを使用して準化学量論的金属酸化物膜を形成するための方法に関する。方法の非限定例は、第1の前駆体および第2の前駆体を選択することを含む。第1の前駆体は、金属および第1のリガンドを含み得る。第2の前駆体は、同じ金属および第2のリガンドを含み得る。基板は、ALDサイクルの第1のパルスの間、第1の前駆体に曝露され得る。基板は、ALDサイクルの第2のパルスの間、第2の前駆体に曝露され得る。第2のパルスは、オキシダントを介在させることなく、第1のパルスの直後に生じ得る。基板は、ALDサイクルの第3のパルスの間に、オキシダントに曝露され得る。
本発明のいくつかの実施形態では、オキシダントは、非プラズマベースの熱オキシダント(例えば、HO、Oなど)である。本発明のいくつかの実施形態では、第1の前駆体の金属は、第1のパルスの間に基板の表面上に化学吸着する。
本発明のいくつかの実施形態では、第2の前駆体の金属は、第1の前駆体リガンドで終端されたコーティング表面上に化学吸着する。本発明のいくつかの実施形態では、第1のリガンドおよび第2のリガンドは、第2のパルスの間に反応して1種または複数の副生成物を形成する。本発明のいくつかの実施形態では、1種または複数の副生成物の少なくとも一部は、オフガス処理を使用して除去される。
本発明のいくつかの実施形態では、第2のパルスは、介在するパルスなしに第1のパルスの直後に生じる。本発明のいくつかの実施形態では、第2のパルスは、第1のパルスの後に生じ、その間に介在するパルスは非反応性パージパルスである。
本発明の実施形態は、準化学量論的金属酸化物を堆積するための方法に関する。方法の非限定例は、基板を、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを有する第1のALDに曝露することを含む。第1の前駆体は金属および第1のリガンドを含み得、第2の前駆体は同じ金属および第2のリガンドを含み得る。方法は、基板を、第1の前駆体パルスおよび第2の前駆体パルスを有し、オキシダント・パルスを有しない第2のALDサイクルに曝露することを含み得る。方法は、基板を、第1の前駆体パルスおよび第2の前駆体パルスの一方(しかし両方ではない)に続いてオキシダント・パルスを有する第3のALDサイクルに曝露することを含み得る。
本発明のいくつかの実施形態では、スーパーサイクルは、第1のALDサイクル、第2のALDサイクルおよび第3のALDサイクルを任意の順序で含む。スーパーサイクルは、準化学量論的金属酸化物の堆積において1または複数回繰り返され得る。本発明のいくつかの実施形態では、第1のALDサイクルは、第2のALDサイクルの前に1または複数回繰り返される。本発明のいくつかの実施形態では、第2のALDサイクルは、第3のALDサイクルの前に1または複数回繰り返される。
本発明のいくつかの実施形態では、金属はハフニウムを含み、第1のリガンドは塩化物を含み、第2のリガンドは有機金属を含む。本発明のいくつかの実施形態では、第1の前駆体はHfClを含み、第2の前駆体はハフニウムおよびテトラキス-エチルメチルアミノ(TEMA)を含む。本発明のいくつかの実施形態では、第1の前駆体はTaClを含み、第2の前駆体はタンタルおよびポリ(2,5-ジメトキシアニリン)(PDMA)を含む。
本発明のいくつかの実施形態では、第1のALDサイクルおよび第2のALDサイクルは、準化学量論的サイクルであり、第3のALDサイクルは、化学量論的である。
本発明の実施形態は、半導体構造体を形成するための方法を目的とする。方法の非限定例は、下部層、上部電極、および下部層と上部電極の間の金属亜酸化物膜を形成することを含む。金属亜酸化物膜は、下部層を、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを有するALDサイクルに曝露することによって形成できる。第1の前駆体は金属および第1のリガンドを含み得、第2の前駆体は同じ金属および第2のリガンドを含み得る。
本発明のいくつかの実施形態では、金属亜酸化物膜は、抵抗変化型ランダムアクセスメモリ(RRAM)の活性領域である。本発明のいくつかの実施形態では、金属亜酸化物膜は、フローティングゲートフラッシュメモリのゲート誘電体層である。
本発明の実施形態は、半導体構造体に関する。半導体デバイスの非限定例は、半導体材料で作られた下部層、上部電極、および下部層と上部電極との間の金属亜酸化物膜を備える。金属亜酸化物膜は、下部層を、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを有するALDサイクルに曝露することによって形成できる。第1の前駆体は金属および第1のリガンドを含み得、第2の前駆体は同じ金属および第2のリガンドを含み得る。本発明のいくつかの実施形態では、半導体材料は、Si、Ge、SiGe、aSi:HおよびInGaAsの1または複数を含む。
本発明の実施形態は、半導体構造体を目的とする。半導体デバイスの非限定例は、第1の金属を有する下部電極、第2の金属を有する上部電極、および下部電極と上部電極との間の金属亜酸化物膜を備える。金属亜酸化物膜は、下部電極を、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを有するALDサイクルに曝露することによって形成できる。第1の前駆体は第3の金属および第1のリガンドを含み、第2の前駆体は第3の金属および第2のリガンドを含む。
本発明のいくつかの実施形態では、下部電極は金属窒化物を含み、金属亜酸化物膜はHfO2-xまたはTa3-xを含む。本発明のいくつかの実施形態では、金属亜酸化物膜は、1もしくは複数の金属-金属結合または1もしくは複数の金属-窒化物-金属結合あるいはその両方を含む。本発明のいくつかの実施形態では、金属亜酸化物膜は、有機およびハロゲン化副生成物(例えば、C、Cl、NHClなど)と結合した亜酸化物結合を含む。
追加の技術的特徴および利益は、本発明の技術を通して実現される。本発明の実施形態および態様は本明細書に詳細に記載され、特許請求される主題の一部と考えられる。より良好な理解のために、詳細な説明および図面を参照されたい。
第1の態様から見ると、本発明は、準化学量論的金属酸化物を堆積する方法であって、金属および第1のリガンドを含む第1の前駆体を選択すること;金属および第2のリガンドを含む第2の前駆体を選択すること;原子層堆積(ALD)サイクルの第1のパルスの間に、基板を第1の前駆体に曝露すること;ALDサイクルの第2のパルスの間に、基板を第2の前駆体に曝露することであって、第2のパルスが第1のパルスの直後に生じる、曝露すること;ならびにALDサイクルの第3のパルスの間に、基板をオキシダントに曝露することを含む、方法を提供する。
別の態様から見ると、本発明は、準化学量論的金属酸化物を堆積する方法であって、基板を第1の原子層堆積(ALD)サイクルに曝露することであって、第1のALDサイクルが第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを含み、第1の前駆体が金属および第1のリガンドを含み、第2の前駆体が金属および第2のリガンドを含む、曝露すること;基板を第2のALDサイクルに曝露することであって、第2のALDサイクルが第1の前駆体パルスおよび第2の前駆体パルスを含み、オキシダント・パルスを含まない、曝露すること;ならびに基板を第3のALDサイクルに曝露することであって、第3のALDサイクルが第1の前駆体パルスおよび第2の前駆体パルスの一方に続いてオキシダント・パルスを含む、曝露することを含む、方法を提供する。
別の態様から見ると、本発明は、電子デバイスを形成するための方法であって、下部層を形成すること;下部層の上に金属亜酸化物膜を形成することであって、金属亜酸化物膜が、下部層を原子層堆積(ALD)サイクルに曝露することによって形成され、ALDサイクルが、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを含み、第1の前駆体が金属および第1のリガンドを含み、第2の前駆体が金属および第2のリガンドを含む、形成すること;ならびに金属亜酸化物膜の上に上部電極を形成することを含む、方法を提供する。
別の態様から見ると、本発明は、下部層;上部電極;および下部層と上部電極の間の金属亜酸化物膜を備える電子デバイスであって、金属亜酸化物膜が、下部層を原子層堆積(ALD)サイクルに曝露することによって形成され、ALDサイクルが、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを含み、第1の前駆体が金属および第1のリガンドを含み、第2の前駆体が金属および第2のリガンドを含む、電子デバイスを提供する。
別の態様から見ると、本発明は、第1の金属を含む下部電極;第2の金属を含む上部電極;および下部電極と上部電極の間の金属亜酸化物膜を備える金属-絶縁体-金属デバイスであって、金属亜酸化物膜が、下部電極を原子層堆積(ALD)サイクルに曝露することによって形成され、ALDサイクルが、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを含み、第1の前駆体が第3の金属および第1のリガンドを含み、第2の前駆体が第3の金属および第2のリガンドを含む、金属-絶縁体-金属デバイスを提供する。
本明細書に記載の独占的権利の詳細は、本明細書の末尾で特許請求の範囲において特に示され、明白に特許請求される。本発明の実施形態の前述および他の特徴ならびに利点は、添付の図面と合わせて以下の詳細な説明から明らかである。本発明をここで、以下の図に例示される通り、好ましい実施形態を参照して例としてのみ記載する。
本発明の実施形態による初期作製操作の実施から得られる構造体を示す横断面図である。 本発明の実施形態による作製操作後の構造体の横断面図である。 本発明の実施形態による作製操作後の構造体の横断面図である。 本発明の実施形態による作製操作後の構造体の横断面図である。 本発明の実施形態による作製操作後の構造体の横断面図である。 本発明の実施形態による作製操作後の構造体の横断面図である。 本発明の実施形態による作製操作後の構造体の横断面図である。 本発明の実施形態による作製操作後の構造体の横断面図である。 本発明の実施形態による作製操作後の構造体を上から見た図である。 本発明の実施形態による作製操作後の図9Aの構造体の横断面図である。 本発明の1つまたは複数の実施形態に従う方法を例示する流れ図である。 本発明の1つまたは複数の実施形態に従う方法を例示する流れ図である。 本発明の1つまたは複数の実施形態に従う方法を例示する流れ図である。
添付の図および記載の実施形態の以下の詳細な説明において、図中に例示される様々な要素は、2または3桁の参照番号を備える。わずかな例外はあるものの、各参照番号の最も左の桁はその要素が最初に例示される図面に対応する。
簡潔さのために、半導体デバイスおよび集積回路(IC)作製に関する従来技術は、本明細書において詳細に記載されることもあり、またはされないこともある。さらに、本明細書に記載の様々なタスクおよびプロセス・ステップは、本明細書に詳細には記載されない追加のステップまたは機能性を有する、より包括的手順またはプロセスに組み込まれ得る。特に、半導体デバイスおよび半導体ベースのICの製造における様々なステップが周知であり、そのため、簡潔さの目的で、多くの従来ステップは、本明細書において簡潔に言及されるのみであるか、または周知のプロセスの詳細を提示することなく完全に省略される。
ここで本発明の態様により具体的に関連する技術の概要に関して、亜酸化物の堆積のための現在の原子層堆積(ALD)技術は、プラズマの導入(遠隔または直接のいずれか)に依拠する。これは、不完全で非共形の非均一な膜堆積につながる。さらに、様々な金属およびリガンドを有する混合反応のための他の非プラズマベースのALD技術は、酸化性物質の非存在下で行われ、これは、これらのプロセスが非亜酸化物に限定されることを意味する。その結果、スカベンジング・ゲートの非存在下で、フラッシュおよびRRAMなどの3D技術に適用可能であると考えられる、共形の均一な単一金属ALD亜酸化物を形成する既存の経路は存在せず、ALD亜酸化物の利用可能な用途の数は大幅に制限されている。
ここで本発明の態様の概要に関して、本発明の実施形態は、介在するオキシダントありまたはなしで薄膜亜酸化物を堆積するための新しい完全熱ALD技術を提供する。「完全熱」ALDプロセスとは、ALDサイクルの間にプラズマが導入されないプロセスを指す。プラズマの使用を避けることによって、完全で共形の均一な膜の堆積が可能である。このプロセスにより、熱オキシダント(プラズマベースのオキシダントではなく)の使用が可能になり、したがって、このプロセスは、広範囲の現在および将来の3D技術に適合可能である。
本発明の態様によるALD技術は、薄膜亜酸化物を形成する、同じ金属であるが異なるリガンドを有する混合前駆体の反応を活用する。P1が第1の金属および第1のリガンド(例えば、M1La)を含み、P2が第1の金属および第2のリガンド(例えば、M1Lb)を含む、ALD金属前駆体P1およびP2の堆積を考察されたい。本発明のいくつかの実施形態では、M1Lbパルスは、介在する熱オキシダント(または他の反応物質)パルスありまたはなしで、M1Laパルスの直後に生じる。熱オキシダント(「O」と示される)が使用されない場合、ALDサイクルは、ALDスーパーサイクルにおいて標準の金属酸化物ALDサイクルと組み合わせられる。
言い換えると、本完全熱ALD技術は、M1La-M1Lb-O ALDサイクルおよび(M1La-M1Lb-O).x+(M1La-M1Lb).y ALDスーパーサイクルを含み、ここでxおよびyは、任意の回数、任意に繰り返すことができる(すなわち、xおよびyは各々、0、1、2、3、4、5…10…Nであってよく、xおよびyは、同じ値を有してもよく、または異なる値を有してもよい)。本発明のいくつかの実施形態では、(M1La-M1Lb-O).xおよび(M1La-M1Lb).yサイクルは、準化学量論的ALDサイクルである。
本発明のいくつかの実施形態では、ALDスーパーサイクルは、準化学量論的ALDサイクルxおよびyの後に化学量論的ALDサイクルzのセットを含むようにさらに改変される。例えば、(M1La-M1Lb-O).x+(M1La-M1Lb).y+(M1LaまたはM1Lb).z ALDスーパーサイクルであり、ここで、zもまた任意の回数、任意に繰り返すことができる(すなわち、x、yおよびzは各々、0、1、2、3、4、5…10…Nであってもよく、x、yおよびzは、同じ値を有してもよく、または異なる値を有してもよい)。本発明のいくつかの実施形態では、スーパーサイクルのALDサイクルは、任意に再順序付けできる(つまり、第1のパルス、第2のパルスおよびオキシダント・パルスは任意の所望の順序で生じてもよい)。
本発明の態様によるALD技術は、自己飽和M1La層の全被覆に続いて、M1Lb層の全被覆を提供し、その後La-Lb反応および副生成物の脱気が続き得る。本発明のいくつかの実施形態では、自己飽和M1La層およびM1Lb層は二重層を画定する。1つまたは複数の実施形態に従って形成された膜の化学量論は、ALDスーパーサイクル(x、yまたはx、y、z)において介在するオキシダント(例えば、O、HO、O、NO、NOなど)を改変することによって、またはALDサイクルx、yおよびzを調節することによって、あるいはその両方によって調節できる。
従来のALDプロセスに優るこの技術の利点は、スカベンジング電極、組成物の調節およびスーパーサイクル配列のx、y、zサイクルを改変することによるグレーディングの必要なしに共形の均一な準化学量論的金属酸化物材料を形成する能力を含む。本発明の態様によるALD技術は、プラズマ処理の必要がないことにより、フロント・エンド・オブ・ライン(FEOL)に適合する。
本ALD技術は、金属-絶縁体-金属(MIM)スタック、3Dメモリ酸化物、3Dフラッシュデバイス、抵抗変化型ランダムアクセスメモリ(RRAM)において、また、FETおよびMIMCAPに使用される高k誘電膜などの広範囲の実用用途を有する。例えば、このプロセスを使用して、上部および下部電極(例えば、TiN)の間に配置された金属亜酸化物(例えばHfO2-xまたはTa3-x)を有するMIMスタックを構築できる。金属亜酸化物は、ごく微量のN、Cl、C、H(ALD反応の副生成物)およびM1-M1金属結合を含有し得る。さらに、金属亜酸化物は、共形(自己制限反応であり、プラズマの使用なしに形成される)であり得る。このプロセスを使用して形成されたRRAMデバイスは、低下した形成電圧、セット電圧またはリセット電圧、あるいはその組合せを有し得る。
ここで本発明の態様のより詳細な説明に関して、図1~8は、本発明の実施形態に従う(M1La-M1Lb-O).x+(M1La-M1Lb).y+(M1LaまたはM1Lb).z ALDスーパーサイクルを使用したALDプロセスからの準化学量論的金属酸化物スタック(図8に示される)の形成のための作製操作後の半導体ウエハ/構造体100の一部分の横断面図を図示する。より具体的には、図1は、本発明の実施形態による初期作製操作の実施から得られる初期ウエハ/構造体/基板102の3つの段階を例示する横断面図を図示する。
図1に示される通り、ALDサイクルの第1のパルスの間に、基板102の表面は第1の前駆体M1Laに曝露されて、第1のALD層104が形成される。本発明のいくつかの実施形態では、第1のALD層104は、第1の前駆体M1Laおよびその残留リガンドの化学吸着金属106を含む。本発明のいくつかの実施形態では、第1のパルスの結果、化学吸着金属106の単一層が堆積される。本発明のいくつかの実施形態では、第1のパルスは調整(例えば、選択した前駆体に基づいてパルス継続時間を改変することによって)されて、基板102の表面が、化学吸着金属106によって完全に飽和(すなわち、自己飽和)することが確実になる(中央の画像)。本発明のいくつかの実施形態では、第1のALD層104の形成の結果、第1の前駆体リガンドLaで終端されたコーティング表面を有する基板102が得られる。
基板102は、任意の好適な基板材料、例えば、ケイ素、シリコンゲルマニウム、炭化ケイ素(SiC)、非晶質ドープシリコン(例えば、aSi:H)、III~V族化合物半導体、II~VI族化合物半導体または半導体-絶縁体(SOI)で作られ得る。III~V族化合物半導体としては、少なくとも1種のIII属元素および少なくとも1種のV族元素、例えば、ヒ化ガリウムアルミニウム(AlGaAs)、窒化ガリウムアルミニウム(AlGaN)、ヒ化アルミニウム(AlAs)、ヒ化インジウムアルミニウム(AlIAs)、窒化アルミニウム(AlN)、アンチモン化ガリウム(GaSb)、アンチモン化アルミニウムガリウム(GaAlSb)、ヒ化ガリウム(GaAs)、ヒ化アンチモン化ガリウム(GaAsSb)、窒化ガリウム(GaN)、アンチモン化インジウム(InSb)、ヒ化インジウム(InAs)、ヒ化ガリウムインジウム(InGaAs)、ヒ化リン化ガリウムインジウム(InGaAsP)、窒化ガリウムインジウム(InGaN)、窒化インジウム(InN)、リン化インジウム(InP)および前述の材料の少なくとも1種を含む合金の組合せのうちの1種または複数が挙げられる。合金の組合せは、二元(2種の元素、例えば、ヒ化ガリウム(III)(GaAs))、三元(3種の元素、例えば、InGaAs)および四元(4種の元素、例えば、リン化インジウムガリウムアルミニウム(AlInGaP))合金を含み得る。II~IV族化合物半導体は、III~V族化合物半導体と同様に少なくとも1種のII族元素および少なくとも1種のIV族元素を有する材料を含む。本発明のいくつかの実施形態では、基板102は、埋め込み酸化物層(図示せず)を含む。埋め込み酸化物層は、例えば、酸化ケイ素などの任意の好適な誘電材料で作られ得る。本発明のいくつかの実施形態では、埋め込み酸化物層は約145nmの厚さに形成されるが、他の厚さも本発明の企図される範囲内である。
第1の前駆体M1Laは、金属(M1)およびリガンド(La)を含み得る。金属は、任意の好適な材料、例えば、Hf、Ta、Zr、Al、La、Siなどを含み得る。リガンドは、任意の好適な材料、例えば、ハロゲン化物、塩化物(ClまたはCl)、または有機金属(トリメチル有機金属、テトラメチルエチル有機金属など、例えば、Al(CH)を含み得る。
議論の容易さのために例示されないが、本発明のいくつかの実施形態では、第1のパルス(および実際には、すべての前駆体およびオキシダント・パルスを含む任意のパルス)の後に、非反応性パージパルスが続く。パージパルスは、最終的な化学に影響せず、パージパルスの詳細な説明は、簡潔さのために省略される。パージパルスは、例えば、N、Ar、He、真空などを含み得、オフガスおよび未反応前駆体ガスをパージするために使用できる。
図1にさらに示される通り、ALDサイクルの第2のパルスの間に、第1のALD層104の表面は第2の前駆体M1Lbに曝露されて、第2のALD層108が形成される。本発明のいくつかの実施形態では、第2の前駆体M1Lbは、第1の前駆体M1Laと同じ金属(M1)を含む。本発明のいくつかの実施形態では、第2の前駆体M1Lbは、第1の前駆体M1Laと同じ金属(M1)を含むが、異なるリガンド(Lb)を含む。
本発明のいくつかの実施形態では、異なるリガンドLaおよびLbは、アミンおよび炭水化物を含む別個のハロゲン化物および金属-有機リガンドに対応する。本発明のいくつかの実施形態では、第1のリガンドLaおよび第2のリガンドLbは、同じクラスのリガンド(例えば、両方がハロゲン化物、有機金属であるなど)から選択される。本発明のいくつかの実施形態では、第1のリガンドLaは第1のクラスのリガンド(例えば、ハロゲン化物)から選択され、第2のリガンドLbは第2のクラスのリガンド(例えば、有機金属)から選択される。例えば、金属M1はハフニウム(Hf)であり得、異なる前駆体は、用途に応じて、HfCl4、TEMAHfおよびTDMAHfなどの組合せを含み得る。別の例では、金属M1はタンタル(Ta)に対応し、異なる前駆体は、TaCl、ポリ(2,5-ジメトキシアニリン)タンタル(PDMAT)またはn-tertブチルイミド-トリス(ジエチルアミノ)タンタル(TBTDET)の組合せを含む。
本発明のいくつかの実施形態では、第1の前駆体M1Laの第1のリガンドLaは、第2のパルスの間に、第2の前駆体M1Lbの第2のリガンドLbと反応する。本発明のいくつかの実施形態では、反応したリガンドLaおよびLbは、半導体構造体100から除去されるオフガスを形成する。本発明のいくつかの実施形態では、ガス放出後に第1のALD層104中に残留するのは、金属M1および一部の残留物(未反応リガンドおよび反応副生成物、例えば、N、Cl、C、H)である。
本発明のいくつかの実施形態では、第2のALD層108は、第2の前駆体M1Lbおよびその残留リガンドの化学吸着金属110を含む。本発明のいくつかの実施形態では、第2のパルスの結果、化学吸着金属110の単一層が堆積される。本発明のいくつかの実施形態では、第2の前駆体の化学吸着金属110は、第2のパルスの間に、第1の前駆体リガンドLaで終端された基板102のコーティング表面上に化学吸着する。
本発明のいくつかの実施形態では、第2のパルスは調整(例えば、選択した前駆体に基づいてパルス継続時間を改変することによって)されて、第1のALD層104の表面が、化学吸着金属110によって完全に飽和(すなわち、自己飽和)することが確実になる(一番下の画像)。本発明のいくつかの実施形態では、第1のALD層104および第2のALD層108は、単一のALD二重層104/108を形成する。この方法でスタック層を層毎に構築することによって、ALDプロセス全体は、多様なプロセス(組成物、厚さなど)要件を満たすように容易に微調整できる。
図2は、本発明の1つまたは複数の実施形態に従う処理操作後の半導体構造体100の3つの段階を例示する横断面図を図示する。図1は、第2のM1Lbサイクルの間に金属を組み込む一次機序(すなわち、金属110が、第1の前駆体リガンドLaで終端された基板102のコーティング表面上に化学吸着する)を例示しているが、第2の機序が可能である。本発明のいくつかの実施形態では、第1の前駆体M1Laおよびその残留リガンドは、すべてではないが一部の吸着/反応部位を遮断する(中央の画像)。本発明のいくつかの実施形態では、1つまたは複数の吸着部位202は、基板102の表面で開放されたままである。
図2に例示される通り、ALDサイクルの第2のパルスの間に、基板102の表面は第2の前駆体M1Lbに曝露されて、第1のALD層104の1つまたは複数の吸着部位202の任意のもの(一部またはすべて)が充填される(一番下の画像)。本発明のいくつかの実施形態では、第2のパルスは、第1のパルスの直後に続く。
第2のパルスを第1のパルスの直後に実施することによって(すなわち、基板102を、オキシダント・パルスなしに第2の前駆体M1Lbに直接曝露することによって)、MlLb取込みは、利用可能な反応部位(例えば、1つまたは複数の開放吸着部位202)に自己制限される。このようにして、第1のALD層104は、準化学量論的に形成できる。本発明のいくつかの実施形態では、第2の前駆体M1Lbの化学吸着金属110は、第1の前駆体M1Laの化学吸着金属106と反応する。言い換えると、第1のALD層104は、M1-M1金属結合を有する亜酸化物であり得る。いくつかの実施形態では、第1のALD層104はまた(M1-M1金属結合に加えて)、前駆体反応副生成物からのM1-CおよびM1-N結合の1つまたは複数を含み得る。
本発明のいくつかの実施形態では、金属110は、一次(第1の前駆体リガンドで終端されたコーティング表面への化学吸着)および二次機序(第1のパルス後の開放部位への化学吸着)の両方の組合せにより化学吸着する。
図3は、本発明の1つまたは複数の実施形態に従う処理操作後の半導体構造体100の2つの段階を例示する横断面図を図示する。図3に例示される通り、第1のALD層104および第2のALD層108(または、既に議論した通り、第2の金属がどのように化学吸着するかに応じて二重層104/108)の表面は、ALDサイクルのオキシダント・パルスの間に熱オキシダント(O)に曝露される。本発明のいくつかの実施形態では、熱オキシダントOは、例えば、HO、NO、NO、O、Oなどを含み得る。本発明のいくつかの実施形態では、オキシダント・パルスの結果、第1のALD層104の表面で形成する単一オキシダント層302が得られる(下側の画像)。
図4は、本発明の1つまたは複数の実施形態に従う処理操作後の半導体構造体100の2つの段階を例示する横断面図を図示する。図4に例示される通り、半導体構造体100は、図1~3に図示されるALDパルス(M1La-M1Lb-O)の「X」回繰り返されたサイクルに曝露されて、準化学量論的層402が構築される(下側の画像)。
本発明のいくつかの実施形態では、準化学量論的層402は、基板102の表面を、(1)ALD層を形成するための第1の前駆体M1Laのパルス(図1に関して記載の通り);(2)ALD層の1つまたは複数の吸着部位の任意のもの(一部またはすべて)を充填するための第2の前駆体M1Lbのパルス(図2に関して記載の通り);(3)熱オキシダント・パルス(図3に関して記載の通り)に順次曝露し;(4)必要に応じて繰り返すことによって構築される。準化学量論的層402は、所望に応じてM1La-M1Lb-Oサイクルの繰り返し回数「X」を増加させることによって任意の任意厚さに形成できる。
図5は、本発明の1つまたは複数の実施形態に従う処理操作後の半導体構造体100の2つの段階を例示する横断面図を図示する。本発明のいくつかの実施形態では、ALDパルス(M1La-M1Lb-O).xの後に、熱オキシダント・パルスなしに第2のALDパルスが続く(すなわち、M1La-M1Lbサイクル)。図5に例示される通り、第2のALDパルスの間、半導体構造体100は、第1の前駆体および第2の前駆体に順次曝露されて、準化学量論的層502が形成される(下の画像)。
図6は、本発明の1つまたは複数の実施形態に従う処理操作後の半導体構造体100の2つの段階を例示する横断面図を図示する。図6に例示される通り、半導体構造体100は、任意で、図5に図示されるALDパルス(M1La-M1Lb)の「Y」回繰り返されたサイクルに曝露されて、準化学量論的層602を画定する準化学量論的層502の上の追加の準化学量論的層が構築される(下の画像)。図6にさらに示される通り、第1のALD層104、単一オキシダント層302および準化学量論的層402は、準化学量論的層602の下の準化学量論的層604の第1の組を画定する。
本発明のいくつかの実施形態では、準化学量論的層602は、基板102の表面を、(1)ALD層を形成するための第1の前駆体M1Laのパルス(図1に関して記載の通り);(2)ALD層の1つまたは複数の吸着部位の任意のもの(一部またはすべて)を充填するための第2の前駆体M1Lbのパルス(図2に関して記載の通り)に順次曝露し;(3)必要に応じて繰り返すことによって(熱オキシダントサイクルなしに)構築される。準化学量論的層602は、所望に応じてM1La-M1Lbサイクルの繰り返し回数「Y」を増加させることによって任意の任意厚さに形成できる。図6から認識できる通り、ALDパルス(M1La-M1Lb).yは、介在する熱オキシダントサイクルが存在しないことに起因して、ALDパルス(M1La-M1Lb-O).xとは異なる。
図7は、本発明の1つまたは複数の実施形態に従う処理操作後の半導体構造体100の2つの段階を例示する横断面図を図示する。図7に例示される通り、半導体構造体100は、第3のALDパルス(M1La-OまたはM1Lb-O)に曝露されて、準化学量論的層602上に1つまたは複数の化学量論的層702が形成される(下の画像)。本発明のいくつかの実施形態では、第3のALDパルスは、M1Laパルスに続いてOパルスを含む。本発明のいくつかの実施形態では、第3のALDパルスは、M1Lbパルスに続いてOパルスを含む。
図8は、本発明の1つまたは複数の実施形態に従う処理操作後の半導体構造体100の2つの段階を例示する横断面図を図示する。図8に例示される通り、半導体構造体100は、図7に図示される第3のALDパルス(M1La-OまたはM1Lb-O)の「Z」回繰り返されるサイクルに任意に曝露されて、化学量論的層802を画定する化学量論的層702の上の追加の化学量論的層が構築される(下の画像)。
本発明のいくつかの実施形態では、化学量論的層802は、基板102の表面を、(1)ALD層を形成するための第1の前駆体M1Laまたは第2の前駆体M1Lbのパルス;(2)熱オキシダント・パルス(図3に関して記載の通り)に順次曝露し;(4)必要に応じて繰り返すことによって構築される。化学量論的層802は、所望に応じてM1La/M1Lb-Oサイクルの繰り返し回数「Z」を増加させることによって任意の厚さに形成できる。図8から認識できる通り、第3のALDパルス(M1La/M1Lb-O)は、前駆体の1つのみが各層に含まれるため、第1のALDパルス(M1La-M1Lb-O)とは異なり、介在する熱オキシダントサイクルの存在に起因して、第2のALDパルス(M1La-M1Lb)とは異なる。
図9Aおよび9Bはそれぞれ、本発明の1つまたは複数の実施形態に従う処理操作後の半導体構造体900の上から見た図および横断面図を図示する。図9Aに例示される通り、半導体構造体900は、MIM構造(例えば、平面X点またはスタックされた3D RRAM)の一部分を画定し得る。半導体構造体900は、例えば、金属ライン902、準化学量論的ALD金属酸化物904および電極906を備え得る。金属ライン902および電極906は、公知のプロセスを使用して形成できる。本発明のいくつかの実施形態では、準化学量論的ALD金属酸化物904は、本発明の1つまたは複数の実施形態に従って形成される。本発明のいくつかの実施形態では、準化学量論的ALD金属酸化物904は、本明細書で既に議論した通り、スーパーサイクルX-Y-Zの「X」(M1La-M1Lb-O)サイクル、「Y」(M1La-M1Lb)サイクルおよび「Z」(M1La/M1Lb-O)サイクルを順次使用して形成される。
半導体構造体900は、既に記載の技術を使用して形成された準化学量論的ALD金属亜酸化物への1つの可能な適用を例示する。しかしながら、既に記載の技術は、他のプロセスに組み込むことができることが理解される。有利なことに、この亜酸化物ALD技術は、酸化物膜または亜酸化物膜(例えば、FET中の高k誘電膜、MIMCAPの絶縁体など)が必要である任意のFEOLまたはBEOLプロセスを置き換えまたは補完することができる。別の例では、3D電荷トラップフラッシュメモリの窒化ケイ素は、本発明の1つまたは複数の実施形態に従って形成されたALD準化学量論的金属酸化物膜(例えば、MO-N、C、H、Cl)で置き換えられる。
図10は、本発明の1つまたは複数の実施形態に従って準化学量論的金属酸化物を堆積するための方法を例示する流れ図1000を図示する。ブロック1002に示される通り、第1の前駆体が選択される。第1の前駆体は、金属および第1のリガンドを含み得る。ブロック1004では、第2の前駆体が選択される。第2の前駆体は、同じ金属および第2のリガンドを含み得る。本発明のいくつかの実施形態では、金属は、Hf、Ta、Zr、Al、LaおよびSiの1種または複数を含む。本発明のいくつかの実施形態では、第1のリガンドおよび第2のリガンドは、同じクラス(例えば、両方塩化物)から選択される。本発明のいくつかの実施形態では、第1のリガンドおよび第2のリガンドは、異なるクラス(例えば、一方は塩化物、一方はハロゲン化物)から選択される。本発明のいくつかの実施形態では、第1のリガンドはハロゲン化物を含み、第2のリガンドは有機金属を含む。本発明のいくつかの実施形態では、第1のリガンドは有機金属を含み、第2のリガンドはハロゲン化物を含む。
ブロック1006では、ALDサイクルの第1のパルスの間、基板が第1の前駆体に曝露される。本発明のいくつかの実施形態では、第1のパルスの間、第1の前駆体の金属は、基板の表面上に化学吸着する。本発明のいくつかの実施形態では、1つまたは複数の吸着部位は、第1のパルス後、開放されたままである。
ブロック1008では、ALDサイクルの第2のパルスの間、基板が第2の前駆体に曝露される。本発明のいくつかの実施形態では、第2のパルスは、第1のパルスの直後に生じる。本発明のいくつかの実施形態では、第2のパルスは、あらゆる介在するパルスが非反応性パージパルスであるように(例えば、オキシダント・パルスの介在なしに)第1のパルスの後に生じる。
本発明のいくつかの実施形態では、第2のパルスの間、第2の前駆体の金属は、第1の前駆体リガンドで終端されたコーティング表面上に化学吸着する。本発明のいくつかの実施形態では、第1のリガンドおよび第2のリガンドは、第2のパルスの間に反応して1種または複数の副生成物を形成する。本発明のいくつかの実施形態では、1種または複数の副生成物の少なくとも一部は、ガス放出により除去される。
ブロック1010では、ALDサイクルの第3のパルスの間、基板がオキシダント(例えば、本明細書で既に議論した通り、熱オキシダント、O2、N2Oなど)に曝露される。
図11は、本発明の1つまたは複数の実施形態に従って準化学量論的金属酸化物を堆積するための方法を例示する流れ図1100を図示する。ブロック1102に示される通り、基板は、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを有する第1のALDサイクル(すなわち、本明細書で既に記載のM1La-M1Lb-Oサイクル)に曝露される。第1の前駆体は金属および第1のリガンドを含み得、第2の前駆体は同じ金属および第2のリガンドを含み得る。本発明のいくつかの実施形態では、金属はタンタルを含み、第1のリガンドは塩化物を含み、第2のリガンドは有機金属を含む。本発明のいくつかの実施形態では、第1の前駆体はHfClを含み、第2の前駆体はハフニウムおよびテトラキス-エチルメチルアミノ(TEMA)を含む。本発明のいくつかの実施形態では、第1の前駆体はTaClを含み、第2の前駆体はタンタルおよびポリ(2,5-ジメトキシアニリン)(PDMA)を含む。ブロック1104では、第1のALDサイクルが、1または複数回繰り返される。
ブロック1106では、基板が、第1の前駆体パルスおよび第2の前駆体パルスを有し、熱オキシダント・パルスを有しない第2のALDサイクル(すなわち、本明細書で既に記載のM1La-M1Lbサイクル)に曝露される。ブロック1108では、第2のALDサイクルが、1または複数回繰り返される。
ブロック1110では、基板が、第1の前駆体パルスおよび第2の前駆体パルスの一方(両方ではない)に続いて熱オキシダント・パルスを有する第3のALDサイクル(すなわち、本明細書で既に記載のM1La-OまたはM1Lb-Oサイクルの一方)に曝露される。
ブロック1112では、第3のALDサイクルが、1または複数回繰り返される。本発明のいくつかの実施形態では、繰り返されるパルスは同じである(例えば、すべてM1La-OまたはM1Lb-Oサイクル)。本発明のいくつかの実施形態では、繰り返されるパルスは変動する(例えば、いくつかのM1La-OサイクルおよびいくつかのM1Lb-Oサイクル)。変動する場合、M1La-OサイクルおよびM1Lb-Oサイクルは、任意の所望の順序(例えば、交互またはそれ以外)で任意に配列できる。
本発明のいくつかの実施形態では、スーパーサイクルは、第1のALDサイクル、第2のALDサイクルおよび第3のALDサイクルを含む。本発明のいくつかの実施形態では、スーパーサイクルは、準化学量論的金属酸化物の堆積において1または複数回繰り返される。
本発明のいくつかの実施形態では、第1のALDサイクルおよび第2のALDサイクルは、準化学量論的サイクルであり、第3のALDサイクルは化学量論的である。
図12は、本発明の1つまたは複数の実施形態に従って準化学量論的金属酸化物膜を有する電子デバイスを形成するための方法を例示する流れ図1200を図示する。ブロック1202に示される通り、下部層が形成される。本発明のいくつかの実施形態では、下部層は金属を含む。本発明のいくつかの実施形態では、下部層は半導体材料を含む。本発明のいくつかの実施形態では、半導体材料は、Si、Ge、SiGe、aSi:HおよびInGaAsの1種または複数を含む。本発明のいくつかの実施形態では、下部層は下部電極を含む。本発明のいくつかの実施形態では、下部電極は金属を含む。本発明のいくつかの実施形態では、下部電極は金属窒化物を含み、金属亜酸化物膜はHfO2-xまたはTa3-xを含む。
ブロック1204では、金属亜酸化物膜が、下部層上に形成される。金属亜酸化物膜は、下部層を、第1の前駆体パルス、第2の前駆体パルスおよび熱オキシダント・パルスを有するALDサイクルに曝露することによって形成され得る。第1の前駆体は金属および第1のリガンドを含み得、第2の前駆体は同じ金属および第2のリガンドを含み得る。
ブロック1206では、上部電極が、金属亜酸化物膜上に形成される。本発明のいくつかの実施形態では、下部層は金属を含み、金属亜酸化物膜はRRAMの活性領域である。本発明のいくつかの実施形態では、下部層は半導体および誘電体を含み、金属亜酸化物膜はフローティングゲートフラッシュメモリの電荷トラップ層である。
本発明のいくつかの実施形態では、金属亜酸化物膜はRRAMの活性領域である。本発明のいくつかの実施形態では、金属亜酸化物膜は、フローティングゲートフラッシュメモリのゲート誘電体層である。
本明細書に記載の方法は、ICチップの作製に使用できる。得られる集積回路チップは、ベアダイとして生ウエハ形態(つまり、複数の非パッケージチップを有する単一ウエハとして)でまたはパッケージ形態で加工業者によって供給され得る。後者の場合、チップは、単一チップパッケージ(例えば、マザーボードまたは他のより高レベルの担体に取付けられたリードを有するプラスチック担体)に、またはマルチチップパッケージ(例えば、表面相互接続または埋め込み相互接続のいずれかまたは両方を有するセラミック担体)に搭載される。いずれの場合も、チップは次いで、(a)マザーボードなどの中間製品、または(b)最終製品のいずれかの一部分として他のチップ、別個の回路素子または他の単一処理デバイスあるいはその組合せに統合される。最終製品は、玩具および他のローエンド用途からディスプレイ、キーボードもしくは他の入力デバイスおよび中央処理装置を有する最新式コンピュータ製品までの、集積回路チップを備える任意の製品であってもよい。
本発明の様々な実施形態は、関連する図面を参照して本明細書に記載される。代替の実施形態は、本発明の範囲から逸脱することなく考案され得る。様々な接続および位置関係(例えば、上に、下に、隣接してなど)が、以下の記載および図中の要素間で示されるが、当業者であれば、本明細書に記載の位置関係の多くは、方向が変化したとしても記載の機能性が維持される場合、方向に依存しないことを認識するであろう。これらの接続または位置関係あるいはその両方は、別途指定されない限り、直接的であっても間接的であってもよく、本発明がこの点で限定されることは意図しない。同様に、「連結した」という用語およびその変化形は、2つの要素間に連通路を有することを記載し、それらの間の介在する要素/接続を有しない要素間の直接接続を意味しない。これらの変化形のすべては、本明細書の一部とみなされる。したがって、実体の連結は、直接的または間接的連結のいずれかを指し得、実体間の位置関係は、直接的位置関係であってもまたは間接的位置関係であってもよい。間接的位置関係の例として、本記載における層「B」の上に層「A」を形成することへの言及は、層「A」および層「B」の関連する特性および機能性が中間層によって実質的に変化しない限り、1つまたは複数の中間層(例えば、層「C」)が層「A」と層「B」の間にある状況を含む。
以下の定義および略称が、特許請求の範囲および本明細書の解釈のために使用されることになる。本明細書で使用される場合、「含む(comprises)」、「含むこと(comprising)」、「含む(includes)」、「含むこと(including)」、「有する」、「有すること」、「含有する」もしくは「含有すること」という用語またはその任意の他の変化形は、非排他的包含を包含することが意図される。例えば、要素のリストを含む組成物、混合物、プロセス、方法、物品または装置は、必ずしもそれらの要素のみに限定されるとは限らず、明確に列挙されていないまたはそのような組成物、混合物、プロセス、方法、物品もしくは装置に固有の他の要素を含み得る。
さらに、「例示的」という用語は、本明細書において、「例、事例または例示として役立つこと」を意味するために使用される。本明細書に「例示的」であるものとして記載の任意の実施形態または設計は、必ずしも他の実施形態または設計よりも好ましいまたは有利であると解釈されるとは限らない。「少なくとも1つ」および「1つまたは複数」という用語は、1以上の任意の整数、すなわち、1、2、3、4などを含むと理解される。「複数」という用語は、2以上の任意の整数、すなわち、2、3、4、5などを含むと理解される。「接続」という用語は、間接的「接続」および直接的「接続」を含み得る。
本明細書における、「一実施形態」、「実施形態」、「例の実施形態」などへの言及は、記載の実施形態が、特定の特徴、構造または特性を含み得るが、各実施形態は、特定の特徴、構造または特性を含んでもよくまたは含まなくてもよいことを示す。さらに、そのような句は、必ずしも同じ実施形態を指すとは限らない。さらに、特定の特徴、構造または特性が実施形態と関連して記載される場合、明らかに記載されるか否かに関わらず、他の実施形態と関連してそのような特徴、構造または特性を作用させることは当業者の知識の範囲内であることが述べられる。
本明細書の以下の記載のために、「上側」、「下側」、「右」、「左」、「垂直」、「水平」、「上部」、「下部」という用語およびその派生語は、図面において方向付けられる通り、記載の構造および方法に関することになる。「上に重なる」、「頂上に」、「上」、「上に配置される」または「頂上に配置される」という用語は、第1の構造などの第1の要素が、第2の構造などの第2の要素の上に存在し、界面構造などの介在する要素が、第1の要素と第2の要素の間に存在し得ることを意味する。「直接接触」という用語は、第1の構造などの第1の要素および第2の構造などの第2の要素が、2つの要素の境界にどのような中間導電、絶縁または半導体層もなしに接続されていることを意味する。
空間関係語、例えば「下(beneath)」、「下(below)」、「下側(lower)」、「上(above)」、「上側(upper)」などは、本明細書において、図中に例示される通りの1つの要素または特徴の別の要素または特徴との関係の記載を容易に記載するために使用され得る。空間関係語は、図中に図示される方向に加えて使用中または操作中のデバイスの異なる方向を包含することが意図されることを理解されたい。例えば、図中のデバイスがひっくり返された場合、他の要素または特徴の「下(below)」または「下(beneath)」として記載される要素は、今度は他の要素または特徴の「上」に方向付けられることになる。したがって、「下」という用語は、上および下の両方の方向を包含し得る。デバイスは、他に方向付けられ(90度回転される、または他の方向)、本明細書で使用される空間関係の記述語は、それに従って解釈される。
「約」、「実質的に」、「およそ」という用語およびその変化形は、本出願の出願日に利用可能な機器に基づく特定の量の測定に関連する誤差の程度を含むことが意図される。例えば、「約」は、所与の値の±8%または5%または2%の範囲を含み得る。
「共形」という用語(例えば、共形層)は、層の厚さがすべての表面で実質的に同じであること、または厚さの変動が層の呼び厚さの15%未満であることを意味する。
「エピタキシャル成長および/または堆積」ならびに「エピタキシャル形成されたおよび/または成長した」は、成長する半導体材料(結晶性被覆層)が、堆積表面の半導体材料(シード材料)と実質的に同じ結晶特性を有する、別の半導体材料(結晶性材料)の堆積表面での半導体材料(結晶性材料)の成長を意味する。エピタキシャル堆積プロセスにおいて、原料ガスによってもたらされる化学反応物質を制御でき、システムパラメータは、堆積原子がそれ自体を堆積表面の原子の結晶配列に方向付けるように表面上を移動するのに十分なエネルギーを有して、堆積原子が半導体基板の堆積表面に達するように設定できる。エピタキシャル成長した半導体材料は、エピタキシャル成長した材料が形成される堆積表面と実質的に同じ結晶特性を有し得る。例えば、方向付けられた結晶性表面(100)に堆積したエピタキシャル成長した半導体材料は、(100)の方向を持つようになり得る。本発明のいくつかの実施形態では、エピタキシャル成長または堆積あるいはその両方のプロセスは、半導体表面での形成に選択的であり得、二酸化ケイ素または窒化ケイ素表面などの曝露表面に材料を堆積させることができない。
本明細書で既に記述した通り、簡潔さのために、半導体デバイスおよび集積回路(IC)作製に関する従来技術は、本明細書において詳細に記載されることもあり、またはされないこともある。しかしながら背景として、本発明の1つまたは複数の実施形態を実施するのに利用され得る半導体デバイス作製プロセスについてのより一般的な記載をここで提供する。本発明の1つまたは複数の実施形態の実施に使用される特定の作製操作は個々に公知であり得るが、本発明の操作または得られる構造あるいはその組合せの記載の組合せは独自である。したがって、本発明に従う半導体デバイスの作製と関連して記載される操作の独自の組合せは、半導体(例えば、シリコン)基板で実施される様々な個々に公知の物理および化学プロセスを利用し、その一部は、直後の段落に記載される。
一般に、ICにパッケージングされるマイクロチップを形成するのに使用される様々なプロセスは、4つの一般的なカテゴリー、すなわち、膜堆積、除去/エッチング、半導体ドープおよびパターニング/リソグラフィに入る。堆積は、材料をウエハ上に成長、コーティングまたはそうでなければ転写する任意のプロセスである。利用可能な技術としては、中でも、物理蒸着(PVD)、化学蒸着(CVD)、電気化学堆積(ECD)、分子ビームエピタキシー(MBE)およびより最近では、原子層堆積(ALD)が挙げられる。除去/エッチングは、ウエハから材料を除去する任意のプロセスである。例としては、エッチングプロセス(湿式または乾式のいずれか)、化学的機械的平坦化(CMP)などが挙げられる。例えば、反応性イオンエッチング(RIE)は、化学反応性プラズマを使用して、曝露表面から材料の部分を取り除くイオン衝撃に材料を曝露することによって、半導体材料のマスクパターンなどの材料を除去する、乾式エッチングの種類である。プラズマは、典型的には、電磁場によって低圧(真空)下で生成される。半導体ドーピングは、一般に、拡散またはイオン注入あるいはその両方によって、例えば、トランジスタソースおよびドレインをドーピングすることによる電気特性の改変である。これらのドーピングプロセスの後に、炉アニーリングまたは急速熱アニーリング(RTA)が続く。アニーリングは、注入されたドーパントを活性化させるのに役立つ。両方の導体(例えば、ポリシリコン、アルミニウム、銅など)および絶縁体(例えば、様々な形態の二酸化ケイ素、窒化ケイ素など)の膜は、トランジスタおよびその構成要素を接続および分離するために使用される。半導体基板の様々な領域の選択的ドーピングにより、基板の導電性を電圧の適用により変化させることが可能になる。これらの様々な構成要素の構造体を作ることによって、数百万ものトランジスタを構築し、一緒に配線して、現代のマイクロ電子デバイスの複雑な回路を形成できる。半導体リソグラフィは、続いて基板にパターンを転写するための、半導体基板への3次元レリーフ画像またはパターンの形成である。半導体リソグラフィにおいて、パターンは、フォトレジストと呼ばれる光感応性ポリマーによって形成される。トランジスタおよび回路の数百万のトランジスタを接続する多くの配線を構成する複雑な構造を構築するために、リソグラフィおよびエッチングパターン転写ステップは、複数回繰り返される。ウエハ上にプリントされる各パターンは、既に形成されたパターンに整列され、ゆっくりと導体、絶縁体および選択的ドープ領域が構築されて、最終デバイスが形成される。
図中の流れ図およびブロック図は、本発明の様々な実施形態に従う作製方法または操作方法あるいはその両方の可能な実施を例示する。方法の様々な機能/操作は、流れ図においてブロックによって表される。一部の代替的実施では、ブロック中に記述された機能は、図中に記述されたもの以外の順序で起こり得る。例えば、連続して示される2つのブロックは、実際には、実質的に同時に実行されてもよく、またはブロックは、関与する機能性に応じて、場合により逆の順序で実行されてもよい。
本発明の様々な実施形態の記載が、例示のために提示されるが、記載の実施形態が網羅的であるまたはそれに限定されることは意図されない。多くの改変および変形が、記載の実施形態の範囲から逸脱することなく当業者には明らかになる。本明細書で使用される用語は、実施形態、実用用途もしくは市場で見出される技術に対する技術的改善の原理を最もよく説明するように、または当業者以外の者が本明細書に記載の実施形態を理解できるように選択した。

Claims (25)

  1. 準化学量論的金属酸化物を堆積する方法であって、
    金属および第1のリガンドを含む第1の前駆体を選択すること;
    前記金属および第2のリガンドを含む第2の前駆体を選択すること;
    原子層堆積(ALD)サイクルの第1のパルスの間、基板を前記第1の前駆体に曝露すること;
    前記ALDサイクルの第2のパルスの間、前記基板を前記第2の前駆体に曝露することであって、前記第2のパルスが前記第1のパルスの直後に生じる、前記曝露すること;ならびに
    前記ALDサイクルの第3のパルスの間、前記基板をオキシダントに曝露すること
    を含む、方法。
  2. 前記オキシダントが、非プラズマベースの熱オキシダントである、請求項1に記載の方法。
  3. 前記第1のパルスの間、前記第1の前駆体の前記金属が、前記基板の表面上に化学吸着する、請求項1に記載の方法。
  4. 前記第2のパルスの間、前記第2の前駆体の前記金属が、前記第1の前駆体リガンドで終端されたコーティング表面上に化学吸着し;前記第1のリガンドおよび前記第2のリガンドが、前記第2のパルスの間に反応して、1または複数の副生成物を形成する、請求項2に記載の方法。
  5. 前記1または複数の副生成物の少なくとも一部をガス放出することをさらに含む、請求項4に記載の方法。
  6. 前記第2のパルスが、介在するパルスなしに前記第1のパルスの直後に生じる、請求項1に記載の方法。
  7. 前記第2のパルスは、前記第1のパルスの後に生じ、その間に介在するパルスは非反応性パージパルスである、請求項1に記載の方法。
  8. 前記金属が、Hf、Ta、Zr、Al、LaおよびSiの1または複数を含み、前記第1のリガンドがハロゲン化物を含み、前記第2のリガンドが有機金属を含む、請求項1に記載の方法。
  9. 前記金属が、Hf、Ta、Zr、Al、LaおよびSiの1または複数を含み、前記第1のリガンドが有機金属を含み、前記第2のリガンドがハロゲン化物を含む、請求項1に記載の方法。
  10. 準化学量論的金属酸化物を堆積する方法であって、
    基板を第1の原子層堆積(ALD)サイクルに曝露することであって、前記第1のALDサイクルが、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを含み、前記第1の前駆体が金属および第1のリガンドを含み、前記第2の前駆体が前記金属および第2のリガンドを含む、前記曝露すること;
    前記基板を第2のALDサイクルに曝露することであって、前記第2のALDサイクルが、前記第1の前駆体パルスおよび前記第2の前駆体パルスを含み、オキシダント・パルスを含まない、前記曝露すること;ならびに
    前記基板を第3のALDサイクルに曝露することであって、前記第3のALDサイクルが、前記第1の前駆体パルスおよび前記第2の前駆体パルスの一方に続いてオキシダント・パルスを含む、前記曝露すること
    を含む、方法。
  11. スーパーサイクルが、前記第1のALDサイクル、前記第2のALDサイクルおよび前記第3のALDサイクルを任意の順序で含み、前記スーパーサイクルが、前記準化学量論的金属酸化物の堆積において1または複数回繰り返される、請求項10に記載の方法。
  12. 前記第1のALDサイクルが、前記第2のALDサイクルの前に1または複数回繰り返され、前記第2のALDサイクルが、前記第3のALDサイクルの前に1または複数回繰り返される、請求項11に記載の方法。
  13. 前記金属がハフニウムを含み、前記第1のリガンドが塩化物を含み、前記第2のリガンドが有機金属を含む、請求項10に記載の方法。
  14. 前記第1の前駆体がHfClを含み、前記第2の前駆体がハフニウムおよびテトラキス-エチルメチルアミノ(TEMA)を含む、請求項13に記載の方法。
  15. 前記第1のALDサイクルおよび前記第2のALDサイクルが、準化学量論的サイクルであり、前記第3のALDサイクルが化学量論的である、請求項10に記載の方法。
  16. 電子デバイスを形成するための方法であって、
    下部層を形成すること;
    前記下部層の上に金属亜酸化物膜を形成することであって、前記金属亜酸化物膜が、前記下部層を原子層堆積(ALD)サイクルに曝露することによって形成され、前記ALDサイクルが、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを含み、前記第1の前駆体が金属および第1のリガンドを含み、前記第2の前駆体が前記金属および第2のリガンドを含む、前記形成すること;ならびに
    前記金属亜酸化物膜の上に上部電極を形成すること
    を含む、方法。
  17. 前記下部層が金属を含み、前記金属亜酸化物膜が抵抗変化型ランダムアクセスメモリ(RRAM)の活性領域を含む、請求項16に記載の方法。
  18. 前記下部層が半導体および誘電体を含み、前記金属亜酸化物膜が、フローティングゲートフラッシュメモリの電荷トラップ層を含む、請求項16に記載のデバイス。
  19. 電子デバイスであって、
    下部層;
    上部電極;および
    前記下部層と前記上部電極の間の金属亜酸化物膜
    を備える電子デバイスであって、前記金属亜酸化物膜が、前記下部層を原子層堆積(ALD)サイクルに曝露することによって形成され、前記ALDサイクルが、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを含み、前記第1の前駆体が金属および第1のリガンドを含み、前記第2の前駆体が前記金属および第2のリガンドを含む、電子デバイス。
  20. 前記下部層が金属電極を含み、前記金属亜酸化物膜が抵抗変化型ランダムアクセスメモリ(RRAM)の活性領域を含む、請求項19に記載のデバイス。
  21. 前記下部層が半導体および誘電体層を含み、前記金属亜酸化物膜がフローティングゲートフラッシュメモリの電荷トラップ層を含む、請求項19に記載のデバイス。
  22. 金属-絶縁体-金属デバイスであって、
    第1の金属を含む下部電極;
    第2の金属を含む上部電極;および
    前記下部電極と前記上部電極の間の金属亜酸化物膜
    を備える金属-絶縁体-金属デバイスであって、前記金属亜酸化物膜が、前記下部電極を原子層堆積(ALD)サイクルに曝露することによって形成され、前記ALDサイクルが、第1の前駆体パルス、第2の前駆体パルスおよびオキシダント・パルスを含み、前記第1の前駆体が第3の金属および第1のリガンドを含み、前記第2の前駆体が前記第3の金属および第2のリガンドを含む、金属-絶縁体-金属デバイス。
  23. 前記下部電極が金属窒化物を含み、前記金属亜酸化物膜がHfO2-xまたはTa3-xを含む、請求項22に記載のデバイス。
  24. 前記金属亜酸化物膜が、1つまたは複数の金属-金属結合、および1つまたは複数の金属-窒化物-金属結合を含み;前記金属亜酸化物膜が、有機およびハロゲン化副生成物に連結した亜酸化物結合をさらに含む、請求項22に記載のデバイス。
  25. 前記金属亜酸化物膜が、3次元抵抗変化型ランダムアクセスメモリ(RRAM)の準化学量論膜、フィン型電界効果トランジスタ(finFET)またはフラッシュメモリを含む、請求項22に記載のデバイス。
JP2022502109A 2019-07-19 2020-07-10 準化学量論的金属酸化物薄膜 Active JP7493580B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/516,423 US11081343B2 (en) 2019-07-19 2019-07-19 Sub-stoichiometric metal-oxide thin films
US16/516,423 2019-07-19
PCT/IB2020/056508 WO2021014266A1 (en) 2019-07-19 2020-07-10 Sub-stoichiometric metal-oxide thin films

Publications (3)

Publication Number Publication Date
JP2022541886A true JP2022541886A (ja) 2022-09-28
JPWO2021014266A5 JPWO2021014266A5 (ja) 2022-12-07
JP7493580B2 JP7493580B2 (ja) 2024-05-31

Family

ID=74192542

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022502109A Active JP7493580B2 (ja) 2019-07-19 2020-07-10 準化学量論的金属酸化物薄膜

Country Status (6)

Country Link
US (2) US11081343B2 (ja)
JP (1) JP7493580B2 (ja)
CN (1) CN114072537A (ja)
DE (1) DE112020002781T5 (ja)
GB (1) GB2599336B (ja)
WO (1) WO2021014266A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11462398B2 (en) * 2019-07-17 2022-10-04 International Business Machines Corporation Ligand selection for ternary oxide thin films
WO2021039074A1 (ja) * 2019-08-30 2021-03-04 住友電気工業株式会社 熱電変換素子
US11362274B2 (en) * 2020-01-10 2022-06-14 International Business Machines Corporation Laterally switching cell having sub-stoichiometric metal oxide active layer
KR20230033542A (ko) * 2021-09-01 2023-03-08 에스케이하이닉스 주식회사 강유전층 및 금속 입자가 내장된 금속-유기물 구조체층을 포함하는 반도체 장치
CN117265510B (zh) * 2023-11-24 2024-02-27 上海星原驰半导体有限公司 原子层沉积方法以及原子层沉积系统

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7030042B2 (en) 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
FR2857030B1 (fr) 2003-07-01 2006-10-27 Saint Gobain Procede de depot d'oxyde de titane par source plasma
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP5107541B2 (ja) 2006-08-22 2012-12-26 ルネサスエレクトロニクス株式会社 絶縁膜形成方法および半導体装置の製造方法
US8016945B2 (en) 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
ATE535534T1 (de) * 2008-10-07 2011-12-15 Air Liquide Metall-organische niobium- und vanadium-vorläufer zur dünnschichtablagerung
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US8592294B2 (en) * 2010-02-22 2013-11-26 Asm International N.V. High temperature atomic layer deposition of dielectric oxides
US20110293830A1 (en) 2010-02-25 2011-12-01 Timo Hatanpaa Precursors and methods for atomic layer deposition of transition metal oxides
FR2972447B1 (fr) 2011-03-08 2019-06-07 Saint-Gobain Glass France Procede d'obtention d'un substrat muni d'un revetement
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US20140318611A1 (en) 2011-08-09 2014-10-30 Solexel, Inc. Multi-level solar cell metallization
JP2014218691A (ja) 2013-05-07 2014-11-20 エア・ウォーター株式会社 層状構造体の製造方法
US20150380309A1 (en) * 2014-06-26 2015-12-31 Intermolecular Inc. Metal-insulator-semiconductor (MIS) contact with controlled defect density
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US10134984B1 (en) 2014-12-31 2018-11-20 Crossbar, Inc. Two-terminal memory electrode comprising a non-continuous contact surface
US10714350B2 (en) * 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
TWI758363B (zh) * 2016-12-06 2022-03-21 美商應用材料股份有限公司 用於ald及cvd薄膜沉積之釕前驅物及其用法
JP2019016778A (ja) 2017-07-07 2019-01-31 東京エレクトロン株式会社 半導体装置の製造方法及び金属酸化物膜の形成方法

Also Published As

Publication number Publication date
US20210272796A1 (en) 2021-09-02
US20210020427A1 (en) 2021-01-21
US11081343B2 (en) 2021-08-03
GB2599336B (en) 2022-12-07
GB2599336A (en) 2022-03-30
US11646199B2 (en) 2023-05-09
JP7493580B2 (ja) 2024-05-31
DE112020002781T5 (de) 2022-02-24
CN114072537A (zh) 2022-02-18
WO2021014266A1 (en) 2021-01-28
GB202201308D0 (en) 2022-03-16

Similar Documents

Publication Publication Date Title
JP7493580B2 (ja) 準化学量論的金属酸化物薄膜
US20220328318A1 (en) Deposition method
US8637390B2 (en) Metal gate structures and methods for forming thereof
CN109216279A (zh) 半导体装置的制造方法
US11923311B2 (en) Forming self-aligned multi-metal interconnects
US20220223608A1 (en) Bilayer dielectric stack for a ferroelectric tunnel junction and method of forming
US10832961B1 (en) Sacrificial gate spacer regions for gate contacts formed over the active region of a transistor
CN106971999A (zh) 嵌入有纳米晶体的电容器
CN114207779B9 (zh) 后段制程兼容的金属-绝缘体-金属的片上解耦电容器
CN113862635A (zh) 形成材料层的方法、半导体装置和制造半导体装置的方法
US10937792B2 (en) Dense vertical field effect transistor structure
US20200127104A1 (en) Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
US11462398B2 (en) Ligand selection for ternary oxide thin films
US10658582B2 (en) Vertical resistive processing unit with air gap
US11081640B2 (en) Magnetic random access memory bottom electrode self-aligned to underlying interconnect structures
US20240079325A1 (en) Hybrid backside dielectric for clock and power wires
US11075161B2 (en) Large via buffer
TWI845313B (zh) 堆疊場效電晶體上之垂直逆變器形成
US11056537B2 (en) Self-aligned gate contact integration with metal resistor
CN115528172A (zh) 集成电路装置及其制造方法
TW202414818A (zh) 堆疊場效電晶體上之垂直逆變器形成

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20220121

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20220120

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221122

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221223

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240409

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240521

R150 Certificate of patent or registration of utility model

Ref document number: 7493580

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150