US20150380309A1 - Metal-insulator-semiconductor (MIS) contact with controlled defect density - Google Patents

Metal-insulator-semiconductor (MIS) contact with controlled defect density Download PDF

Info

Publication number
US20150380309A1
US20150380309A1 US14/315,718 US201414315718A US2015380309A1 US 20150380309 A1 US20150380309 A1 US 20150380309A1 US 201414315718 A US201414315718 A US 201414315718A US 2015380309 A1 US2015380309 A1 US 2015380309A1
Authority
US
United States
Prior art keywords
layer
metal
oxygen
substrate
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/315,718
Inventor
Salil Mujumdar
Amol Joshi
Kevin Kashefi
Albert Sanghyup Lee
Abhijit Pethe
Bin Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Intermolecular Inc
Original Assignee
GlobalFoundries Inc
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc, Intermolecular Inc filed Critical GlobalFoundries Inc
Priority to US14/315,718 priority Critical patent/US20150380309A1/en
Assigned to INTERMOLECULAR, INC. reassignment INTERMOLECULAR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JOSHI, AMOL
Assigned to INTERMOLECULAR, INC. reassignment INTERMOLECULAR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, ALBERT, KASHEFI, KEVIN, MUJUMDAR, SALIL
Assigned to INTERMOLECULAR, INC. reassignment INTERMOLECULAR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PETHE, ABHIJIT
Publication of US20150380309A1 publication Critical patent/US20150380309A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • germanium germanium
  • a transistor's total resistance corresponds to the source contact resistance, the channel resistance, and the drain contact resistance connected in series.
  • Channel resistance can be reduced by using a higher-mobility material for the channel; for instance, by using a Ge channel instead of silicon (Si).
  • Si Ge channel instead of silicon
  • Metal contacts to n-Ge are observed to have large Schottky barriers, close to 0.6 eV, and consequently poor contacts.
  • the Schottky barrier height in n-Ge is nearly independent of the metal's work function.
  • the cause is believed to be Fermi-level pinning from metal bonding directly to Ge at the interface. Interface dipoles, metal-induced gap states (MIGS), fixed charge, and other mechanisms have been proposed to explain the effect.
  • MIGS metal-induced gap states
  • a high concentration of active dopants in the semiconductor can reduce the Schottky barrier height, but this has been difficult to achieve in Ge.
  • MIS metal-insulator-semiconductor
  • Interface materials for Ge include Ge 3 N 4 , SiO x N y , GeO x , AlO x , MgO, TiO 2 , and others. If the insulating interface layer is sufficiently thin, electrons can tunnel through from the metal to the Ge and vice versa, but the metal and the Ge cannot bond to each other. However, the interface layer contributes a tunneling resistance of its own to the overall contact resistance. Traditionally, Schottky barrier reduction (helped by making the insulating layer thicker) and tunneling-resistance reduction (helped by making the insulating layer thinner) have therefore been traded off against each other in search of a minimum total contact resistance.
  • Materials with low band offsets to n-Ge such as TiO 2 and SrTiO 3 , provide low intrinsic tunneling resistance and a gradual increase in contact resistance with thickness. However, it is desirable to produce low contact resistance in a larger range of materials.
  • Embodiments of oxide insulating layers for MIS contacts have intentionally elevated defect density to raise their conductivity.
  • the defects are caused by the layer's containing a sub-stoichiometric amount of oxygen (the layer is “oxygen-deficient”).
  • These layers provide enough physical separation between the surrounding metal and Ge layers to prevent Fermi pinning, but their heightened conductivity reduces tunneling resistance to decrease the total contact resistance.
  • Increasing the defects can produce low contact resistance even in insulating materials with normally high band offsets from Ge, such as aluminum oxide (Al 2 O 3 ) and hafnium oxide (HfO 2 ), as well as materials with low band offsets such as titanium oxide (TiO 2 ) and tantalum oxide (Ta 2 O 5 ).
  • Embodiments of methods for making the oxygen-deficient oxide layers include atomic layer deposition (ALD).
  • the methods may include heating the substrate to about 400 C during deposition and using very short (about 0.1 s) pulses of water (H 2 O) as the oxidant.
  • the substrate may be pre-cleaned with trimethylaluminum (TMA) to remove any native germanium oxides (GeOx) before deposition.
  • TMA trimethylaluminum
  • the substrate may be annealed at about 400 C after deposition.
  • the pulse of metal precursor may also be shortened to 0.1 s, or the oxidant source may be maintained at a temperature of about 1 C, or the process pressure may be less than about 0.4 Torr. Any or all of the optional aspects may be combined.
  • FIGS. 1A and 1B conceptually illustrate a transistor and the contributions of contact and channel resistances.
  • FIG. 2 is a graph of Fermi level pinning in contacts to Ge made of various metals.
  • FIG. 3 conceptually illustrates a transistor with metal-insulator-semiconductor (MIS) contacts.
  • MIS metal-insulator-semiconductor
  • FIG. 4 is a block diagram of an example ALD apparatus.
  • FIGS. 5A-5D conceptually illustrate construction of a MIS contact with an ALD oxygen-deficient insulating layer.
  • FIGS. 6A-6C conceptually illustrate TMA cleaning.
  • FIG. 7 is a flowchart of an example process for forming an MIS contact with an oxygen-deficient insulating layer.
  • FIGS. 8A and 8B are graphs of experimental data for ALD aluminum-oxide MIS insulator layers on Ge.
  • FIGS. 1A and 1B conceptually illustrate a transistor and the contributions of contact and channel resistances.
  • a semiconductor layer 110 e.g., p-doped Ge
  • Source region 103 and drain region 105 e.g., n-doped Ge
  • Source region 103 and drain region 105 are formed within semiconductor layer 110 by doping (e.g., though ion implantation or diffusion such as thermal diffusion).
  • Gate (or gate stack) 107 is formed above channel 104 .
  • Source contact 102 is formed over source region 103
  • drain contact 106 is formed over drain region 105 . In practice, any of these components may have multiple substructures, and other components may be present.
  • FIG. 1B shows the equivalent schematic for the resistance of the transistor, to a first approximation: the sum of (1) the source contact resistance encountered on path 111 , represented by resistor 121 ; (2) the channel resistance encountered on path 112 , represented by resistor 122 ; and (3) the drain contact resistance encountered on path 113 , represented by resistor 123 .
  • Channel resistance 122 is reduced by using Ge instead of Si for the channel material.
  • the Fermi-level pinning at the interfaces between source contact 102 and source 103 , and between drain contact 106 and drain 105 causes contact resistances 121 and 123 to be higher for Ge-based resistors than for their Si-based counterparts.
  • FIG. 2 is a graph of Fermi level pinning in contacts to Ge made of various metals.
  • Line 201 represents an ideal junction with no Fermi level pinning. Its slope is 1.
  • ideal-junction line 201 crosses Ge-bandgap energy 202 ; a metal with the work function equal to the x-coordinate of point 203 ( ⁇ 4.7 eV) would be expected to form an ohmic contact with Ge. However, because of the Fermi pinning, this does not occur.
  • the square data points (e.g., 204 ) show measured values of electron barrier height vs.
  • a completely pinned junction would have a barrier height independent of the metal work function (slope of 0). The Ge junction is not quite completely pinned, but it is fairly close.
  • FIG. 3 conceptually illustrates a transistor with metal-insulator-semiconductor (MIS) contacts.
  • An insulating layer 308 separates metal source contact 102 from semiconductor (e.g., n-Ge) source region 103 .
  • an insulating layer 309 separates metal source contact 106 from semiconductor (e.g., n-Ge) source region 105 .
  • Insulating layers 308 and 309 prevent interface bonding between the metal and the Ge, and thus prevent Fermi-level pinning. However, electrons must now tunnel through insulating layers 308 and 309 if current is to traverse the transistor. The thicker and less-conductive the insulator is, the more tunnel resistance it will contribute to the contact resistance.
  • the solutions herein may also be applied to silicon-germanium (SiGe), other Ge-containing alloys, or other substrates such as III-V materials that suffer from similar Fermi-level pinning problems and make use of MIS contacts.
  • Oxygen vacancies places in an oxide where an oxygen atom could be bonded, but is not—are one such type of defect.
  • Oxygen vacancies In an oxide with oxygen vacancies, electrons need only tunnel from one oxygen vacancy to another, rather than across the entire layer. In effect, they increase the conductivity of the otherwise-insulating oxide.
  • these defects In a capacitor dielectric, these defects contribute to leakage current and are highly undesirable.
  • atomic layer deposition very precise control of both thickness and defect density is possible.
  • Atomic layer deposition is a process used to deposit conformal layers with atomic scale thickness control during various semiconductor processing operations.
  • ALD may be used to deposit barrier layers, adhesion layers, seed layers, dielectric layers, conductive layers, etc.
  • ALD is a multi-step self-limiting process that includes the use of at least two reagents.
  • a first reagent (“precursor”) is introduced into a process chamber containing a substrate.
  • Precursor molecules, or parts of them adsorb onto the surface of the substrate.
  • “adsorb” may include chemisorption, physisorption, electrostatic or magnetic attraction, or any other interaction resulting in part of the precursor adhering to the substrate surface).
  • Excess precursor and by-products e.g., precursor ligands detached from the deposited material
  • a second reagent e.g., water vapor, ozone, or plasma
  • the deposition reaction is self-limiting in that the reaction terminates once the initially adsorbed layer has fully reacted with the second reagent. Again, excess precursor and by-products (e.g., precursor ligands detached from the deposited material) are purged and/or pumped away from the substrate.
  • precursor and by-products e.g., precursor ligands detached from the deposited material
  • This sequence constitutes one deposition or ALD “cycle.”
  • the cycle may be referred to as an “A-B” cycle, with the introduction and purge of the first precursor being the “A cycle” and the introduction and purge of the second precursor being the “B cycle.”
  • the process is repeated to form the next layer, with the number of cycles determining the total deposited film thickness.
  • ALD layer thickness is typically expressed as an average thickness.
  • a contiguous monolayer is one molecule thick.
  • a non-contiguous monolayer where there are empty spaces left between the deposited atoms, can be less than 1 molecule thick on average.
  • FIG. 4 is a block diagram of an example ALD apparatus. For clarity, some components that may be included with some ALD chambers, such as a substrate-loading port, substrate lift pins, and electrical feedthroughs, are not shown.
  • Environmentally-controlled process chamber 402 contains substrate holder 412 to hold substrate 401 for processing.
  • Substrate holder 412 may be made from a thermally conducting metal (e.g., tungsten, molybdenum, aluminum, nickel) or other like materials (e.g., a conductive ceramic) and may be temperature-controlled.
  • Drive 414 may move substrate holder 412 (e.g., translate or rotate in any direction) during loading, unloading, process set-up, or sometimes during processing.
  • Process chamber 402 is supplied with process gases by gas delivery lines 404 (although three are illustrated, any number of delivery lines may be used).
  • a valve and/or mass flow controller 406 may be connected to one or more of delivery lines 404 to control the delivery rates of process gases into process chamber 402 .
  • gases are routed from delivery lines 404 into process chamber 402 through delivery port 408 .
  • Delivery port 408 may be configured to premix the process gases (e.g., precursors and diluents), shape the distribution of the process gases over the surface of substrate 401 , or both.
  • Delivery port 408 sometimes called a “showerhead,” may include a diffusion plate 409 that distributes the process gases through multiple holes.
  • Vacuum pump 416 exhausts reaction products and unreacted gases from, and maintains the desired ambient pressure in, process chamber 402 .
  • Controller 420 may be connected to control various components of the apparatus to produce a desired set of process conditions.
  • Controller 420 may include one or more memory devices and one or more processors with a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and the like.
  • controller 420 executes system control software including sets of instructions for controlling timing, gas flows, chamber pressure, chamber temperature, substrate temperature, radio frequency (RF) power levels (if RF components are used, e.g., for process gas dissociation), and other parameters.
  • RF radio frequency
  • Other computer programs and instruction stored on memory devices associated with controller 420 may be employed in some embodiments.
  • FIGS. 5A-5D conceptually illustrate construction of a MIS contact with an ALD oxygen-deficient insulating layer.
  • an “oxygen-deficient” metal oxide layer will be understood to contain less oxygen than at least one of the stoichiometric metal oxide compounds of that metal. For example, if a metal oxide has a stoichiometric formula MeO 2 (where Me represents the metal), then an oxygen-deficient compound would be MeO (2-x) with x>0. Although the same metal may have additional metal oxide compounds such as MeO, the MeO (2-x) layer would still be considered oxygen-deficient since it has less oxygen than the MeO 2 compound.
  • metal precursor 524 is exposed to metal precursor 524 .
  • the metal precursor may be a precursor for aluminum (Al), titanium (Ti), hafnium (Hf) or tantalum (Ta).
  • metal precursor 524 is made up of the metal component 523 (at least 1 metal atom) and at least one ligand 525 .
  • the Al precursor trimethylaluminum (TMA) includes 2 Al atoms and 6 methyl-group (CH 3 ) ligands.
  • the adsorption of metal stops when either (1) all the available bonding sites on the surface are filled, or (2) all the available metal precursor has been adsorbed, whichever comes first.
  • the chamber is then purged with a purge gas (e.g., argon or nitrogen) to remove ligands 525 , any unabsorbed precursors 524 , and any other by-products.
  • a purge gas e.g., argon or nitrogen
  • substrate 501 with Ge layer 503 and layer of adsorbed metal 523 is exposed to an oxygen precursor (or “oxidizer”) 534 .
  • the oxidizer may be oxygen gas (O 2 ) or ozone (O 3 ), but may alternatively be an oxygen component 533 (at least 1 oxygen atom) with at least one ligand 535 .
  • oxygen precursor molecule 534 encounters the surface of adsorbed metal 523 , oxygen component 533 adsorbs to the surface and ligand 535 breaks away.
  • the adsorption of oxygen would normally stop when either (1) all the available bonding sites on the surface are filled, or (2) all the available oxygen precursor has been adsorbed, whichever comes first.
  • the conditions are controlled so that fewer than all the available oxygen sites are filled and some unbonded oxygen sites 536 (“oxygen vacancies” or “dangling bonds”) are left at the end of the oxygen deposition cycle. Even if some Fermi-level pinning occurs with the unbonded metal atoms, the current will flow through the least-resistive path, so that the effective Schottky barrier height of the contact is almost equal to its lowest Schottky barrier height.
  • the chamber is the purged with a purge gas (e.g., argon or nitrogen) to remove ligands 535 , any unabsorbed precursors 534 , and any other by-products, leaving an oxygen-deficient metal-oxide monolayer on the surface.
  • a purge gas e.g., argon or nitrogen
  • the “A-B” (metal-oxygen) cycles have been repeated to create a metal-oxide layer 508 of multiple monolayers. At least some of the monolayers contain oxygen vacancies 536 .
  • a metal layer 502 is formed over the metal-oxide layer 508 . Other steps, such as annealing or surface treatments, may be executed between formation of metal-oxide layer 508 and metal layer 502 .
  • the metal layer may also be formed by ALD, or it may be formed by any other suitable method such as CVD or PVD.
  • the metal layer may share a metal component with the metal-oxide layer, or not.
  • Atoms from metal layer 502 cannot diffuse through metal-oxide layer 508 , but electrons can tunnel through metal-oxide layer 508 along paths 538 between oxygen vacancies 536 .
  • this layer is an effective reaction barrier between the metal and Ge, and yet is conductive, so that the overall contact resistance is low.
  • FIGS. 6A-6C conceptually illustrate TMA cleaning.
  • TMA “cleaning,” used to address unwanted native oxides that form on semiconductor surfaces, is actually an ALD deposition process. Instead of removing the oxygen entirely, the process deposits a material with a greater affinity for the oxygen than the semiconductor (in this case, aluminum) to scavenge the oxygen from the native oxide.
  • Ge layer 603 on substrate 601 has a layer of native oxide with bonded oxygen atoms 613 .
  • the aluminum precursor TMA 624 is introduced in the chamber.
  • the TMA 624 deposits aluminum 623 and releases methyl-group ligands 625 . Without any oxidant being let into the chamber, the aluminum 623 scavenges the oxygen 613 from the native oxide layer, reducing Ge layer 603 to its un-oxidized state and forming a monolayer of aluminum oxide 643 .
  • FIG. 7 is a flowchart of an example process for forming an MIS contact with an oxygen-deficient insulating layer.
  • the substrate is prepared 701 .
  • Preparation of the substrate may include positioning it in the ALD chamber and heating it in a vacuum (e.g., 300-340 C at ⁇ 0.1 Torr for 30-40 min) to drive off moisture and surface hydrocarbons.
  • the preparation may include removing native oxide from the semiconductor surface.
  • the substrate is TMA-cleaned 702 .
  • the TMA cleaning may include, for example, heating the substrate to 280-320 C and introducing 1-to-5-second pulses of TMA interspersed with 3-to-7-minute N2 purges at 5-10 Torr. The cycle may be repeated between 3 and 10 times.
  • Each ALD cycle for the oxygen deficient metal-oxide insulator includes metal pulse 703 , post-metal purge 704 , oxidant pulse 705 , and post-oxidant purge 706 to create each monolayer (or sub-monolayer if some of the available bonding sites are left empty).
  • the metal may include aluminum, titanium, hafnium, or tantalum.
  • the metal precursor may include (for Al) aluminum tris(2,2,6,6-tetramethyl-3,5-heptanedionate) (Al(OCC(CH 3 ) 3 CHCOC(CH 3 ) 3 ) 3 ), triisobutyl aluminum ([(CH 3 ) 2 CHCH 2 ] 3 Al), trimethyl aluminum ((CH 3 ) 3 Al)—also known as TMA, Tris(dimethyl amido)aluminum (Al(N(CH 3 ) 2 ) 3 ); (for Ti) bis(tert-butylcyclopentadienyl)titanium(IV) dichloride, (C 18 H 26 Cl 2 Ti), tetrakis(diethylamido)titanium(IV) ([(C 2 H 5 ) 2 N] 4 Ti), tetrakis(diethylamido)titanium(IV) ([(C 2 H 5 ) 2 N] 4 Ti), or tetrakis(di
  • the oxidant may include water (H 2 O), peroxides (organic and inorganic, including hydrogen peroxide H 2 O 2 ), oxygen (O 2 ), ozone (O 3 ), oxides of nitrogen (NO, N 2 O, NO 2 , N 2 O 5 ), alcohols (e.g., ROH, where R is a methyl, ethyl, propyl, isopropyl, butyl, secondary butyl, or tertiary butyl group, or other suitable alkyl group), carboxylic acids (RCOOH, where R is any suitable alkyl group as above), and radical oxygen compounds (e.g., O, O 2 , O 3 , and OH radicals produced by heat, hot-wires, and/or plasma).
  • water H 2 O
  • peroxides organic and inorganic, including hydrogen peroxide H 2 O 2
  • oxygen O 2
  • ozone oxides of nitrogen
  • NO, N 2 O, NO 2 , N 2 O 5 e.g
  • the oxidant pulse is very short (e.g., 0.05-0.15 seconds) so that not enough oxygen is available to fully oxidize the metal and some oxygen vacancies are created in the monolayer.
  • the oxidant is H 2 O.
  • the deposition temperature is between 190 C and 410 C, such as 200 C or 400 C.
  • the metal-precursor pulse may also be short (e.g., 0.05-0.15 seconds).
  • the oxidant source may be cooled to 0.5 C-3 C.
  • the chamber pressure may be maintained at less than 0.4 Torr.
  • the substrate may optionally be annealed 708 before beginning the next process 799 .
  • Annealing may be, for example, 25-35 min at 375-525 C, or 30 min at 400 C, or 30 min at 500 C, or a two-step anneal such as 30 min at 400 C followed by 30 min at 500 C.
  • FIGS. 8A and 8B are graphs of experimental data for ALD aluminum-oxide MIS insulator layers on Ge.
  • the test layers were 3 nm thick; considerably thicker than typical MIS insulator layers.
  • FIG. 8A represents leakage current density J g vs. effective oxide thickness EOT before annealing.
  • EOT t*(k SiO2 /k matl ), where t is the physical thickness of the layer, k SiO2 is the dielectric constant of silicon dioxide, and k matl is the dielectric constant of the film material.
  • Data point 801 was a reference film using O 3 as the oxidizer; all the other films used H 2 O.
  • the four highest-leakage films 802 , 803 , 804 and 805 all used a short ( ⁇ 0.1 s) water pulse in the oxidation cycles. Three of those four ( 803 , 804 , 805 ) were deposited at 400 C while 802 was deposited at 200 C.
  • FIG. 8B represents leakage current density J g vs. effective oxide thickness EOT after annealing for 30 min at 400 C in forming gas (a hydrogen-nitrogen mixture sometimes prepared by dissociating ammonia).
  • Forming-gas annealing often increases contact resistance (i.e., decreases leakage current) in tunnel barriers by reducing fixed charge density.
  • Data point 811 is the reference film that used O 3 as the oxidizer; all the other films used H 2 O.
  • Data point 812 is the film that produced data point 802 before anneal.
  • Data point 813 similarly corresponds to 803 , 814 to 804 , and 815 to 805 .
  • oxygen-deficient metal-oxide films produce a low-resistance MIS contact to Ge that survives processes such as forming-gas annealing. Even at relatively large thicknesses of ⁇ 3 nm, the leakage current is greater than 0.1 A/cm 2 at ⁇ 1V.

Abstract

Metal-insulator-semiconductor (MIS) contacts for germanium and its alloys include insulator layers of oxygen-deficient metal oxide deposited by atomic layer deposition (ALD). The oxygen deficiency reduces the tunnel barrier resistance of the insulator layer while maintaining the layer's ability to prevent Fermi-level pinning at the metal/semiconductor interface. The oxygen deficiency is controlled by optimizing one or more ALD parameters such as shortened oxidant pulses, use of less-reactive oxidants such as water, heating the substrate during deposition, TMA “cleaning” of native oxide before deposition, and annealing after deposition. Secondary factors include reduced process-chamber pressure, cooled oxidant, and shortened pulses of the metal precursor.

Description

    BACKGROUND
  • Related fields include semiconductor manufacture, particularly devices using germanium (Ge) as the semiconductor.
  • Transistors, such as metal-oxide-semiconductor field-effect transistors (MOSFETS) may be thought of as digital switches with low resistance in an “ON” state (Ron) and high resistance in an “OFF” state (Ron). It is desirable to increase the difference ΔR=Roff−Ron between the states. To a first approximation, a transistor's total resistance corresponds to the source contact resistance, the channel resistance, and the drain contact resistance connected in series. Channel resistance can be reduced by using a higher-mobility material for the channel; for instance, by using a Ge channel instead of silicon (Si). Unfortunately, with decreasing channel length, contribution of the source and drain contact resistances play a significant role in the overall device resistance. Metal contacts to n-Ge are observed to have large Schottky barriers, close to 0.6 eV, and consequently poor contacts. The Schottky barrier height in n-Ge is nearly independent of the metal's work function.
  • The cause is believed to be Fermi-level pinning from metal bonding directly to Ge at the interface. Interface dipoles, metal-induced gap states (MIGS), fixed charge, and other mechanisms have been proposed to explain the effect. In other materials, a high concentration of active dopants in the semiconductor can reduce the Schottky barrier height, but this has been difficult to achieve in Ge.
  • To alleviate Fermi level pinning, and thus lower the contact resistance, ultra-thin interface layers of insulating materials have been inserted between the metal and the Ge. This approach is known as a metal-insulator-semiconductor (MIS) contact. Interface materials for Ge include Ge3N4, SiOxNy, GeOx, AlOx, MgO, TiO2, and others. If the insulating interface layer is sufficiently thin, electrons can tunnel through from the metal to the Ge and vice versa, but the metal and the Ge cannot bond to each other. However, the interface layer contributes a tunneling resistance of its own to the overall contact resistance. Traditionally, Schottky barrier reduction (helped by making the insulating layer thicker) and tunneling-resistance reduction (helped by making the insulating layer thinner) have therefore been traded off against each other in search of a minimum total contact resistance.
  • Materials with low band offsets to n-Ge, such as TiO2 and SrTiO3, provide low intrinsic tunneling resistance and a gradual increase in contact resistance with thickness. However, it is desirable to produce low contact resistance in a larger range of materials.
  • Therefore, a need exists for an insulating layer for an MIS contact to Ge that, while effectively blocking the metal-Ge bonds that cause Fermi-level pinning, contributes minimal tunneling resistance of its own and thus results in an overall lower contact resistance.
  • SUMMARY
  • The following summary presents some concepts in a simplified form as an introduction to the detailed description that follows. It does not necessarily identify key or critical elements and is not intended to reflect a scope of invention.
  • Embodiments of oxide insulating layers for MIS contacts have intentionally elevated defect density to raise their conductivity. The defects are caused by the layer's containing a sub-stoichiometric amount of oxygen (the layer is “oxygen-deficient”). These layers provide enough physical separation between the surrounding metal and Ge layers to prevent Fermi pinning, but their heightened conductivity reduces tunneling resistance to decrease the total contact resistance. Increasing the defects can produce low contact resistance even in insulating materials with normally high band offsets from Ge, such as aluminum oxide (Al2O3) and hafnium oxide (HfO2), as well as materials with low band offsets such as titanium oxide (TiO2) and tantalum oxide (Ta2O5).
  • Embodiments of methods for making the oxygen-deficient oxide layers include atomic layer deposition (ALD). The methods may include heating the substrate to about 400 C during deposition and using very short (about 0.1 s) pulses of water (H2O) as the oxidant. Optionally, the substrate may be pre-cleaned with trimethylaluminum (TMA) to remove any native germanium oxides (GeOx) before deposition. Optionally, the substrate may be annealed at about 400 C after deposition. Optionally, the pulse of metal precursor may also be shortened to 0.1 s, or the oxidant source may be maintained at a temperature of about 1 C, or the process pressure may be less than about 0.4 Torr. Any or all of the optional aspects may be combined.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The accompanying drawings may illustrate examples of concepts, embodiments, or results. They do not define or limit the scope of invention. They are not drawn to any absolute or relative scale. In some cases, identical or similar reference numbers may be used for identical or similar features in multiple drawings.
  • FIGS. 1A and 1B conceptually illustrate a transistor and the contributions of contact and channel resistances.
  • FIG. 2 is a graph of Fermi level pinning in contacts to Ge made of various metals.
  • FIG. 3 conceptually illustrates a transistor with metal-insulator-semiconductor (MIS) contacts.
  • FIG. 4 is a block diagram of an example ALD apparatus.
  • FIGS. 5A-5D conceptually illustrate construction of a MIS contact with an ALD oxygen-deficient insulating layer.
  • FIGS. 6A-6C conceptually illustrate TMA cleaning.
  • FIG. 7 is a flowchart of an example process for forming an MIS contact with an oxygen-deficient insulating layer.
  • FIGS. 8A and 8B are graphs of experimental data for ALD aluminum-oxide MIS insulator layers on Ge.
  • DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS
  • A detailed description of one or more example embodiments is provided below. To avoid unnecessarily obscuring the description, some technical material known in the related fields is not described in detail. Semiconductor fabrication generally requires many other processes before and after those described; this description omits steps that are irrelevant to, or that may be performed independently of, the described processes.
  • By default, singular articles “a,” “an,” and “the” (or the absence of an article) may encompass plural variations. For example, “a layer” may mean “one or more layers,” except where the text or context clearly indicates “only one layer.” Where a range of values is provided, each intervening value is encompassed within the invention unless the text or context clearly dictates otherwise. “About” or “approximately” contemplates up to 10% variation. “Substantially” contemplates up to 5% variation.
  • FIGS. 1A and 1B conceptually illustrate a transistor and the contributions of contact and channel resistances. In FIG. 1A, a semiconductor layer 110 (e.g., p-doped Ge) is formed on substrate 101. Source region 103 and drain region 105 (e.g., n-doped Ge) are formed around channel 104 in semiconductor layer 110. In some embodiments, source region 103 and drain region 105 are formed within semiconductor layer 110 by doping (e.g., though ion implantation or diffusion such as thermal diffusion). Gate (or gate stack) 107 is formed above channel 104. Source contact 102 is formed over source region 103, and drain contact 106 is formed over drain region 105. In practice, any of these components may have multiple substructures, and other components may be present.
  • FIG. 1B shows the equivalent schematic for the resistance of the transistor, to a first approximation: the sum of (1) the source contact resistance encountered on path 111, represented by resistor 121; (2) the channel resistance encountered on path 112, represented by resistor 122; and (3) the drain contact resistance encountered on path 113, represented by resistor 123. Channel resistance 122 is reduced by using Ge instead of Si for the channel material. However, the Fermi-level pinning at the interfaces between source contact 102 and source 103, and between drain contact 106 and drain 105, causes contact resistances 121 and 123 to be higher for Ge-based resistors than for their Si-based counterparts.
  • FIG. 2 is a graph of Fermi level pinning in contacts to Ge made of various metals. Line 201 represents an ideal junction with no Fermi level pinning. Its slope is 1. Line 202 represents the bandgap energy of Ge (˜0.67 eV at temperature=302K). At point 203, ideal-junction line 201 crosses Ge-bandgap energy 202; a metal with the work function equal to the x-coordinate of point 203 (˜4.7 eV) would be expected to form an ohmic contact with Ge. However, because of the Fermi pinning, this does not occur. The square data points (e.g., 204) show measured values of electron barrier height vs. metal work function for various contact metals: cerium (Ce), gadolinium (Gd), lanthanum (La), hafnium (Hf), tantalum (Ta), titanium (Ti), nickel (Ni), and platinum (Pt). A completely pinned junction would have a barrier height independent of the metal work function (slope of 0). The Ge junction is not quite completely pinned, but it is fairly close.
  • FIG. 3 conceptually illustrates a transistor with metal-insulator-semiconductor (MIS) contacts. An insulating layer 308 separates metal source contact 102 from semiconductor (e.g., n-Ge) source region 103. Similarly, an insulating layer 309 separates metal source contact 106 from semiconductor (e.g., n-Ge) source region 105. Insulating layers 308 and 309 prevent interface bonding between the metal and the Ge, and thus prevent Fermi-level pinning. However, electrons must now tunnel through insulating layers 308 and 309 if current is to traverse the transistor. The thicker and less-conductive the insulator is, the more tunnel resistance it will contribute to the contact resistance.
  • Although the examples herein specify Ge as the semiconductor, the solutions herein may also be applied to silicon-germanium (SiGe), other Ge-containing alloys, or other substrates such as III-V materials that suffer from similar Fermi-level pinning problems and make use of MIS contacts.
  • Certain types of defects in insulating layers create paths for current to leak through. Oxygen vacancies—places in an oxide where an oxygen atom could be bonded, but is not—are one such type of defect. In an oxide with oxygen vacancies, electrons need only tunnel from one oxygen vacancy to another, rather than across the entire layer. In effect, they increase the conductivity of the otherwise-insulating oxide. In a capacitor dielectric, these defects contribute to leakage current and are highly undesirable. However, in an insulator layer for MIS contacts, more conductivity is desirable. With atomic layer deposition, very precise control of both thickness and defect density is possible.
  • Atomic layer deposition (ALD) is a process used to deposit conformal layers with atomic scale thickness control during various semiconductor processing operations. ALD may be used to deposit barrier layers, adhesion layers, seed layers, dielectric layers, conductive layers, etc. ALD is a multi-step self-limiting process that includes the use of at least two reagents.
  • Generally, a first reagent (“precursor”) is introduced into a process chamber containing a substrate. Precursor molecules, or parts of them, adsorb onto the surface of the substrate. (As used herein, “adsorb” may include chemisorption, physisorption, electrostatic or magnetic attraction, or any other interaction resulting in part of the precursor adhering to the substrate surface). Excess precursor and by-products (e.g., precursor ligands detached from the deposited material) are purged and/or pumped away from the substrate. A second reagent (e.g., water vapor, ozone, or plasma) is then introduced into the chamber and reacts with the adsorbed layer to form a deposited layer. The deposition reaction is self-limiting in that the reaction terminates once the initially adsorbed layer has fully reacted with the second reagent. Again, excess precursor and by-products (e.g., precursor ligands detached from the deposited material) are purged and/or pumped away from the substrate.
  • This sequence constitutes one deposition or ALD “cycle.” Alternatively, the cycle may be referred to as an “A-B” cycle, with the introduction and purge of the first precursor being the “A cycle” and the introduction and purge of the second precursor being the “B cycle.” The process is repeated to form the next layer, with the number of cycles determining the total deposited film thickness.
  • The self-limiting nature of the ALD process enables the formation of film layers with precision on the atomic or molecular scale. Among those skilled in the art, ALD layer thickness is typically expressed as an average thickness. A contiguous monolayer is one molecule thick. However, a non-contiguous monolayer, where there are empty spaces left between the deposited atoms, can be less than 1 molecule thick on average.
  • FIG. 4 is a block diagram of an example ALD apparatus. For clarity, some components that may be included with some ALD chambers, such as a substrate-loading port, substrate lift pins, and electrical feedthroughs, are not shown. Environmentally-controlled process chamber 402 contains substrate holder 412 to hold substrate 401 for processing. Substrate holder 412 may be made from a thermally conducting metal (e.g., tungsten, molybdenum, aluminum, nickel) or other like materials (e.g., a conductive ceramic) and may be temperature-controlled. Drive 414 may move substrate holder 412 (e.g., translate or rotate in any direction) during loading, unloading, process set-up, or sometimes during processing.
  • Process chamber 402 is supplied with process gases by gas delivery lines 404 (although three are illustrated, any number of delivery lines may be used). A valve and/or mass flow controller 406 may be connected to one or more of delivery lines 404 to control the delivery rates of process gases into process chamber 402. In some embodiments, gases are routed from delivery lines 404 into process chamber 402 through delivery port 408. Delivery port 408 may be configured to premix the process gases (e.g., precursors and diluents), shape the distribution of the process gases over the surface of substrate 401, or both. Delivery port 408, sometimes called a “showerhead,” may include a diffusion plate 409 that distributes the process gases through multiple holes. Vacuum pump 416 exhausts reaction products and unreacted gases from, and maintains the desired ambient pressure in, process chamber 402.
  • Controller 420 may be connected to control various components of the apparatus to produce a desired set of process conditions. Controller 420 may include one or more memory devices and one or more processors with a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and the like. In some embodiments, controller 420 executes system control software including sets of instructions for controlling timing, gas flows, chamber pressure, chamber temperature, substrate temperature, radio frequency (RF) power levels (if RF components are used, e.g., for process gas dissociation), and other parameters. Other computer programs and instruction stored on memory devices associated with controller 420 may be employed in some embodiments.
  • FIGS. 5A-5D conceptually illustrate construction of a MIS contact with an ALD oxygen-deficient insulating layer. As used herein, an “oxygen-deficient” metal oxide layer will be understood to contain less oxygen than at least one of the stoichiometric metal oxide compounds of that metal. For example, if a metal oxide has a stoichiometric formula MeO2 (where Me represents the metal), then an oxygen-deficient compound would be MeO(2-x) with x>0. Although the same metal may have additional metal oxide compounds such as MeO, the MeO(2-x) layer would still be considered oxygen-deficient since it has less oxygen than the MeO2 compound.
  • In FIG. 5A, substrate 501 with Ge layer 503 is exposed to metal precursor 524. For example, the metal precursor may be a precursor for aluminum (Al), titanium (Ti), hafnium (Hf) or tantalum (Ta). Typically, metal precursor 524 is made up of the metal component 523 (at least 1 metal atom) and at least one ligand 525. For instance, the Al precursor trimethylaluminum (TMA) includes 2 Al atoms and 6 methyl-group (CH3) ligands. When a metal precursor molecule 524 encounters the surface of Ge layer 503, metal component 523 adsorbs to the surface and ligand 525 breaks away. The adsorption of metal stops when either (1) all the available bonding sites on the surface are filled, or (2) all the available metal precursor has been adsorbed, whichever comes first. The chamber is then purged with a purge gas (e.g., argon or nitrogen) to remove ligands 525, any unabsorbed precursors 524, and any other by-products.
  • In FIG. 5B, substrate 501 with Ge layer 503 and layer of adsorbed metal 523 is exposed to an oxygen precursor (or “oxidizer”) 534. The oxidizer may be oxygen gas (O2) or ozone (O3), but may alternatively be an oxygen component 533 (at least 1 oxygen atom) with at least one ligand 535. For instance, water vapor (H2O) includes an O atom and 2 H atoms (which, once released, rapidly combine into H2 under most circumstances). When an oxygen precursor molecule 534 encounters the surface of adsorbed metal 523, oxygen component 533 adsorbs to the surface and ligand 535 breaks away.
  • The adsorption of oxygen would normally stop when either (1) all the available bonding sites on the surface are filled, or (2) all the available oxygen precursor has been adsorbed, whichever comes first. However, to deposit an oxygen-deficient layer, the conditions are controlled so that fewer than all the available oxygen sites are filled and some unbonded oxygen sites 536 (“oxygen vacancies” or “dangling bonds”) are left at the end of the oxygen deposition cycle. Even if some Fermi-level pinning occurs with the unbonded metal atoms, the current will flow through the least-resistive path, so that the effective Schottky barrier height of the contact is almost equal to its lowest Schottky barrier height. The chamber is the purged with a purge gas (e.g., argon or nitrogen) to remove ligands 535, any unabsorbed precursors 534, and any other by-products, leaving an oxygen-deficient metal-oxide monolayer on the surface.
  • In FIG. 5C, the “A-B” (metal-oxygen) cycles have been repeated to create a metal-oxide layer 508 of multiple monolayers. At least some of the monolayers contain oxygen vacancies 536. In FIG. 5D, a metal layer 502 is formed over the metal-oxide layer 508. Other steps, such as annealing or surface treatments, may be executed between formation of metal-oxide layer 508 and metal layer 502. The metal layer may also be formed by ALD, or it may be formed by any other suitable method such as CVD or PVD. The metal layer may share a metal component with the metal-oxide layer, or not. Atoms from metal layer 502 cannot diffuse through metal-oxide layer 508, but electrons can tunnel through metal-oxide layer 508 along paths 538 between oxygen vacancies 536. Thus, this layer is an effective reaction barrier between the metal and Ge, and yet is conductive, so that the overall contact resistance is low.
  • FIGS. 6A-6C conceptually illustrate TMA cleaning. TMA “cleaning,” used to address unwanted native oxides that form on semiconductor surfaces, is actually an ALD deposition process. Instead of removing the oxygen entirely, the process deposits a material with a greater affinity for the oxygen than the semiconductor (in this case, aluminum) to scavenge the oxygen from the native oxide. In FIG. 6A, Ge layer 603 on substrate 601 has a layer of native oxide with bonded oxygen atoms 613. In FIG. 6B, the aluminum precursor TMA 624 is introduced in the chamber. The TMA 624 deposits aluminum 623 and releases methyl-group ligands 625. Without any oxidant being let into the chamber, the aluminum 623 scavenges the oxygen 613 from the native oxide layer, reducing Ge layer 603 to its un-oxidized state and forming a monolayer of aluminum oxide 643.
  • FIG. 7 is a flowchart of an example process for forming an MIS contact with an oxygen-deficient insulating layer. Initially, the substrate is prepared 701. Preparation of the substrate may include positioning it in the ALD chamber and heating it in a vacuum (e.g., 300-340 C at <0.1 Torr for 30-40 min) to drive off moisture and surface hydrocarbons. Optionally, the preparation may include removing native oxide from the semiconductor surface. Optionally, in some embodiments the substrate is TMA-cleaned 702. The TMA cleaning may include, for example, heating the substrate to 280-320 C and introducing 1-to-5-second pulses of TMA interspersed with 3-to-7-minute N2 purges at 5-10 Torr. The cycle may be repeated between 3 and 10 times.
  • Each ALD cycle for the oxygen deficient metal-oxide insulator includes metal pulse 703, post-metal purge 704, oxidant pulse 705, and post-oxidant purge 706 to create each monolayer (or sub-monolayer if some of the available bonding sites are left empty). The metal may include aluminum, titanium, hafnium, or tantalum. The metal precursor may include (for Al) aluminum tris(2,2,6,6-tetramethyl-3,5-heptanedionate) (Al(OCC(CH3)3CHCOC(CH3)3)3), triisobutyl aluminum ([(CH3)2CHCH2]3Al), trimethyl aluminum ((CH3)3Al)—also known as TMA, Tris(dimethyl amido)aluminum (Al(N(CH3)2)3); (for Ti) bis(tert-butylcyclopentadienyl)titanium(IV) dichloride, (C18H26Cl2Ti), tetrakis(diethylamido)titanium(IV) ([(C2H5)2N]4Ti), tetrakis(diethylamido)titanium(IV) ([(C2H5)2N]4Ti), or tetrakis(dimethylamido)titanium(IV) ([(CH3)2N]4Ti); (for Hf) bis(tert-butylcyclopentadienyl)dimethyl hafnium (C20H32Hf), bis(methyl-η5-cyclopentadienyl) methoxymethyl hafnium (HfCH3(OCH3)[C5H4(CH3)]2), bis(trimethylsilyl)amido hafnium chloride ([[(CH3)3Si]2N]2HfCl2), dimethylbis(cyclopentadienyl)hafnium ((C5H5)2Hf(CH3)2), hafnium isopropoxide isopropanol adduct (C12H28HfO4), tetrakis(diethylamido) hafnium ([(CH2CH3)2N]4Hf)—also known as TEMAH, tetrakis(ethylmethylamido) hafnium ([(CH3)(C2H5)N]4Hf), tetrakis(dimethylamido) hafnium ([(CH3)2N]4Hf)—also known as TDMAH, and hafnium tert-butoxide (HTB); (for Ta) pentakis(dimethylamino)tantalum(V) (Ta(N(CH3)2)5), tantalum(V) ethoxide (Ta(OC2H5)5), tris(diethylamido)(tert-butylimido)tantalum(V) ((CH3)3CNTa(N(C2H5)2)3), or tris(diethylamido)(tert-butylimido)tantalum(V) ((CH3)3CNTa(N(C2H5)2)3). The oxidant may include water (H2O), peroxides (organic and inorganic, including hydrogen peroxide H2O2), oxygen (O2), ozone (O3), oxides of nitrogen (NO, N2O, NO2, N2O5), alcohols (e.g., ROH, where R is a methyl, ethyl, propyl, isopropyl, butyl, secondary butyl, or tertiary butyl group, or other suitable alkyl group), carboxylic acids (RCOOH, where R is any suitable alkyl group as above), and radical oxygen compounds (e.g., O, O2, O3, and OH radicals produced by heat, hot-wires, and/or plasma).
  • In some embodiments, the oxidant pulse is very short (e.g., 0.05-0.15 seconds) so that not enough oxygen is available to fully oxidize the metal and some oxygen vacancies are created in the monolayer. In some embodiments, the oxidant is H2O. In some embodiments, the deposition temperature is between 190 C and 410 C, such as 200 C or 400 C. Optionally, the metal-precursor pulse may also be short (e.g., 0.05-0.15 seconds). Optionally, the oxidant source may be cooled to 0.5 C-3 C. Optionally, the chamber pressure may be maintained at less than 0.4 Torr.
  • Once the ALD cycles have produced the desired film thickness (0.5-4 nm or, preferably for some applications, 0.5-1.5 nm), fulfilling condition 707, the substrate may optionally be annealed 708 before beginning the next process 799. Annealing may be, for example, 25-35 min at 375-525 C, or 30 min at 400 C, or 30 min at 500 C, or a two-step anneal such as 30 min at 400 C followed by 30 min at 500 C.
  • FIGS. 8A and 8B are graphs of experimental data for ALD aluminum-oxide MIS insulator layers on Ge. The test layers were 3 nm thick; considerably thicker than typical MIS insulator layers. FIG. 8A represents leakage current density Jg vs. effective oxide thickness EOT before annealing. EOT is in Ångstrom units (1 A=0.1 nm). EOT=t*(kSiO2/kmatl), where t is the physical thickness of the layer, kSiO2 is the dielectric constant of silicon dioxide, and kmatl is the dielectric constant of the film material. Data point 801 was a reference film using O3 as the oxidizer; all the other films used H2O. The four highest-leakage films 802, 803, 804 and 805 all used a short (˜0.1 s) water pulse in the oxidation cycles. Three of those four (803, 804, 805) were deposited at 400 C while 802 was deposited at 200 C.
  • FIG. 8B represents leakage current density Jg vs. effective oxide thickness EOT after annealing for 30 min at 400 C in forming gas (a hydrogen-nitrogen mixture sometimes prepared by dissociating ammonia). Forming-gas annealing often increases contact resistance (i.e., decreases leakage current) in tunnel barriers by reducing fixed charge density. Here, for the test films that had the highest leakage before annealing, there was little to no change; the contact resistance was still very low. Data point 811 is the reference film that used O3 as the oxidizer; all the other films used H2O. Data point 812 is the film that produced data point 802 before anneal. Data point 813 similarly corresponds to 803, 814 to 804, and 815 to 805. The films made oxygen-deficient by oxidizing with a short water pulse, most of them deposited at 400 C, retained their high leakage after annealing. In another experiment (data not shown), an additional 30 min anneal at 500 C increased the leakage by an order of magnitude.
  • In conclusion, oxygen-deficient metal-oxide films produce a low-resistance MIS contact to Ge that survives processes such as forming-gas annealing. Even at relatively large thicknesses of ˜3 nm, the leakage current is greater than 0.1 A/cm2 at −1V.
  • Although the foregoing examples have been described in some detail to aid understanding, the invention is not limited to the details in the description and drawings. The examples are illustrative, not restrictive. There are many alternative ways of implementing the invention. Various aspects or components of the described embodiments may be used singly or in any combination. The scope is limited only by the claims, which encompass numerous alternatives, modifications, and equivalents.

Claims (20)

What is claimed is:
1. A method of forming a metal-insulator-semiconductor contact, the method comprising:
providing a substrate, wherein the substrate comprises a semiconductor surface;
forming a first layer above the semiconductor surface, wherein the first layer comprises an oxygen-deficient metal oxide, and wherein the forming uses an atomic layer deposition process; and
forming a second layer above the first layer, wherein the second layer comprises a metal.
2. The method of claim 1, wherein the semiconductor surface comprises germanium or a germanium alloy.
3. The method of claim 1, wherein the first layer comprises aluminum, hafnium, titanium, or tantalum.
4. The method of claim 1, wherein the forming of the first layer comprises using water as an oxidant.
5. The method of claim 1, wherein the forming of the first layer comprises maintaining the oxidant at a temperature between 0.5 C and 3 C.
6. The method of claim 1, wherein the forming of the first layer comprises using an oxidant pulse between 0.05 and 0.15 seconds in duration.
7. The method of claim 1, wherein the forming of the first layer comprises using a metal-precursor pulse between 0.05 and 0.15 seconds in duration.
8. The method of claim 1, wherein the first layer is formed at a temperature between 190 C and 410 C.
9. The method of claim 1, wherein the first layer is formed at a temperature of about 400 C.
10. The method of claim 1, wherein the first layer is formed at a pressure below 0.4 Torr.
11. The method of claim 1, wherein the preparing of the substrate comprises heating the substrate to between 300 C and 340 C in an ambient pressure less than 0.1 Torr.
12. The method of claim 1, wherein the preparing of the substrate comprises removing a native oxide from the semiconductor surface.
13. The method of claim 1, further comprising exposing the substrate to trimethylaluminum (TMA) after the preparing of the substrate and before the forming of the first layer.
14. The method of claim 13, wherein aluminum deposited on the semiconductor surface by the exposure to the TMA scavenges oxygen from a native oxide on the semiconductor surface.
15. The method of claim 1, further comprising annealing the substrate at a temperature of at least 400 C for at least 30 minutes after the forming of the first layer.
16. The method of claim 1, further comprising annealing the substrate at a temperature of at least 500 C for at least 30 minutes after the forming of the first layer.
17. A metal-insulator-semiconductor contact, comprising:
a semiconductor layer;
a second layer; and
an oxygen-deficient metal-oxide layer between the semiconductor layer and the second layer;
wherein the oxygen-deficient metal-oxide layer has a leakage current density greater than 0.1 A/cm2 at −1 V.
18. The contact of claim 17, wherein the semiconductor surface comprises germanium or a germanium alloy.
19. The contact of claim 17, wherein the oxygen-deficient metal-oxide layer is between 0.5 nm and 4 nm thick.
20. The contact of claim 17, wherein the first layer comprises aluminum, hafnium, titanium, or tantalum.
US14/315,718 2014-06-26 2014-06-26 Metal-insulator-semiconductor (MIS) contact with controlled defect density Abandoned US20150380309A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/315,718 US20150380309A1 (en) 2014-06-26 2014-06-26 Metal-insulator-semiconductor (MIS) contact with controlled defect density

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/315,718 US20150380309A1 (en) 2014-06-26 2014-06-26 Metal-insulator-semiconductor (MIS) contact with controlled defect density

Publications (1)

Publication Number Publication Date
US20150380309A1 true US20150380309A1 (en) 2015-12-31

Family

ID=54931325

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/315,718 Abandoned US20150380309A1 (en) 2014-06-26 2014-06-26 Metal-insulator-semiconductor (MIS) contact with controlled defect density

Country Status (1)

Country Link
US (1) US20150380309A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3416183A1 (en) * 2017-06-16 2018-12-19 NXP USA, Inc. Substrate treatment method for semiconductor device fabrication
WO2019031067A1 (en) * 2017-08-07 2019-02-14 ソニーセミコンダクタソリューションズ株式会社 Electric connection structure, semiconductor device, and electronic apparatus
KR20190048064A (en) * 2017-10-30 2019-05-09 성균관대학교산학협력단 Transistor and preparation method thereof
US11081343B2 (en) * 2019-07-19 2021-08-03 International Business Machines Corporation Sub-stoichiometric metal-oxide thin films

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7696036B2 (en) * 2007-06-14 2010-04-13 International Business Machines Corporation CMOS transistors with differential oxygen content high-k dielectrics
US20120322221A1 (en) * 2011-06-14 2012-12-20 Elpida Memory, Inc. Molybdenum oxide top electrode for dram capacitors
US8350245B2 (en) * 2008-12-10 2013-01-08 Panasonic Corporation Variable resistance element and nonvolatile semiconductor memory device using the same
US20130122651A1 (en) * 2010-07-27 2013-05-16 Panasonic Corporation Manufacturing method of non-volatile memory device
US20140017403A1 (en) * 2012-07-12 2014-01-16 Schubert Chu Methods For Depositing Oxygen Deficient Metal Films
US20140029330A1 (en) * 2011-03-14 2014-01-30 Shunsaku Muraoka Method for driving nonvolatile memory element, and nonvolatile memory device
US20140321197A1 (en) * 2013-04-30 2014-10-30 Panasonic Corporation Driving method of non-volatile memory element and non-volatile memory device
US20150137113A1 (en) * 2013-11-15 2015-05-21 Gang Yu Motft with un-patterned etch-stop

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7696036B2 (en) * 2007-06-14 2010-04-13 International Business Machines Corporation CMOS transistors with differential oxygen content high-k dielectrics
US8350245B2 (en) * 2008-12-10 2013-01-08 Panasonic Corporation Variable resistance element and nonvolatile semiconductor memory device using the same
US20130122651A1 (en) * 2010-07-27 2013-05-16 Panasonic Corporation Manufacturing method of non-volatile memory device
US20140029330A1 (en) * 2011-03-14 2014-01-30 Shunsaku Muraoka Method for driving nonvolatile memory element, and nonvolatile memory device
US20120322221A1 (en) * 2011-06-14 2012-12-20 Elpida Memory, Inc. Molybdenum oxide top electrode for dram capacitors
US20140017403A1 (en) * 2012-07-12 2014-01-16 Schubert Chu Methods For Depositing Oxygen Deficient Metal Films
US20140321197A1 (en) * 2013-04-30 2014-10-30 Panasonic Corporation Driving method of non-volatile memory element and non-volatile memory device
US20150137113A1 (en) * 2013-11-15 2015-05-21 Gang Yu Motft with un-patterned etch-stop

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3416183A1 (en) * 2017-06-16 2018-12-19 NXP USA, Inc. Substrate treatment method for semiconductor device fabrication
US10763115B2 (en) 2017-06-16 2020-09-01 Nxp Usa, Inc. Substrate treatment method for semiconductor device fabrication
WO2019031067A1 (en) * 2017-08-07 2019-02-14 ソニーセミコンダクタソリューションズ株式会社 Electric connection structure, semiconductor device, and electronic apparatus
JPWO2019031067A1 (en) * 2017-08-07 2020-06-25 ソニーセミコンダクタソリューションズ株式会社 Electrical connection structure, semiconductor device, and electronic device
US11296215B2 (en) 2017-08-07 2022-04-05 Sony Semiconductor Solutions Corporation Electrical coupling structure, semiconductor device, and electronic apparatus
JP7168568B2 (en) 2017-08-07 2022-11-09 ソニーセミコンダクタソリューションズ株式会社 Electrical connection structure, semiconductor device and electronic equipment
KR20190048064A (en) * 2017-10-30 2019-05-09 성균관대학교산학협력단 Transistor and preparation method thereof
KR101978944B1 (en) * 2017-10-30 2019-05-15 성균관대학교 산학협력단 Transistor and preparation method thereof
US11081343B2 (en) * 2019-07-19 2021-08-03 International Business Machines Corporation Sub-stoichiometric metal-oxide thin films
US20210272796A1 (en) * 2019-07-19 2021-09-02 International Business Machines Corporation Sub-stoichiometric metal-oxide thin films
US11646199B2 (en) * 2019-07-19 2023-05-09 International Business Machines Corporation Sub-stoichiometric metal-oxide thin films

Similar Documents

Publication Publication Date Title
US9502256B2 (en) ZrAION films
US9178031B2 (en) Methods of atomic-layer deposition of hafnium oxide/erbium oxide bi-layer as advanced gate dielectrics
US7393736B2 (en) Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7989285B2 (en) Method of forming a film containing dysprosium oxide and hafnium oxide using atomic layer deposition
US7511326B2 (en) ALD of amorphous lanthanide doped TiOx films
US20060257563A1 (en) Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
US7473637B2 (en) ALD formed titanium nitride films
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7531452B2 (en) Strained metal silicon nitride films and method of forming
US20060189154A1 (en) Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
KR20140113484A (en) Silane and borane treatments for titanium carbide films
KR101503969B1 (en) Method of forming a semiconductor device
US7494937B2 (en) Strained metal silicon nitride films and method of forming
US11769664B2 (en) Methods for depositing a hafnium lanthanum oxide film on a substrate by a cyclical deposition process in a reaction chamber
US20150380309A1 (en) Metal-insulator-semiconductor (MIS) contact with controlled defect density
US7713868B2 (en) Strained metal nitride films and method of forming
KR102538510B1 (en) Tunability of dopant concentration in thin hafnium oxide films
US20130316546A1 (en) Methods of atomic layer deposition of hafnium oxide as gate dielectrics
US8178446B2 (en) Strained metal nitride films and method of forming
Consiglio Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications
Ahn et al. Zr x Hf y Sn 1-xy O 2 films as high k gate dielectrics

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERMOLECULAR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MUJUMDAR, SALIL;KASHEFI, KEVIN;LEE, ALBERT;SIGNING DATES FROM 20140703 TO 20140710;REEL/FRAME:033286/0377

Owner name: INTERMOLECULAR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:JOSHI, AMOL;REEL/FRAME:033288/0518

Effective date: 20140710

AS Assignment

Owner name: INTERMOLECULAR, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PETHE, ABHIJIT;REEL/FRAME:035563/0934

Effective date: 20150504

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117