TW202311551A - 形成含有過渡金屬及13族元素之層的方法 - Google Patents

形成含有過渡金屬及13族元素之層的方法 Download PDF

Info

Publication number
TW202311551A
TW202311551A TW111125840A TW111125840A TW202311551A TW 202311551 A TW202311551 A TW 202311551A TW 111125840 A TW111125840 A TW 111125840A TW 111125840 A TW111125840 A TW 111125840A TW 202311551 A TW202311551 A TW 202311551A
Authority
TW
Taiwan
Prior art keywords
group
transition metal
precursor
layer
substrate
Prior art date
Application number
TW111125840A
Other languages
English (en)
Inventor
杜魯能 梅特 范
琦 謝
查理斯 德茲拉
彼德洛 德明斯基
陳立甫
維爾尼 吉賽佩 亞雷西歐
張仁頡
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202311551A publication Critical patent/TW202311551A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Abstract

本揭露揭露一種沉積含有一過渡金屬及一13族元素之層的方法及系統。此些層係形成於一基板之表面上。此沉積製程可以是一循環沉積製程。可在其中併入此些層之例示性結構包括場效電晶體、VNAND單元、金屬-絕緣體-金屬(MIM)結構、及動態隨機存取記憶體電容。

Description

形成含有過渡金屬及13族元素之層的方法及系統
本揭露係大致關於半導體製程方法及系統的領域,且關於積體電路製造的領域。尤其,本揭露係揭示一種適用於形成含有過渡金屬及13族(group 13)元素的層之方法及系統。
半導體裝置(例如,諸如:互補式金氧半導體(complementary metal-oxide-semiconductor,CMOS)裝置)之尺寸縮放已引發積體電路在速度及密度上的重大改善。然而,習知的裝置縮放技術在對未來技術節點上面臨重大的挑戰。
例如,其中一項挑戰在於找出適於用作經大幅縮放的互補式金氧半導體裝置中之閘極電極的導電材料。可使用各種閘極材料,例如,諸如:金屬,譬如:氮化鈦層)。然而,在期望功函數的值高於以氮化鈦層所取得的值,例如:在互補式金氧半導體裝置的P型金氧半導體(PMOS)區域中之某些情況下,閘極電極之材料需要被改善。尤其,這類材料可包括功函數金屬(work function metals),並可用於,例如,臨界電壓調變(threshold voltage tuning)。
此外,在其他的半導體裝置,例如:金屬-絕緣體-金屬(metal-insulator-metal,MIM)結構、動態隨機存取記憶體(DRAM)電容、與VNAND單元中仍存在對於新式材料的需求。
本節提出之任何討論,包括問題及解決方案的討論,僅為了提供本揭露背景脈絡之目的而包含在本揭露中。這類討論不應視為承認任何或全部資訊在完成本揭露時為已知或以其他方式構成先前技術。
本揭露內容可簡單介紹一系列概念,其可詳述如後。本揭露內容非旨在是一定用來識別所請求實質之關鍵特徵或基本特徵,亦無意用以限制所請求實質之範疇。
本揭露之各種實施例係關於一種沉積含有金屬及氮之材料之方法、一種利用這種方法所形成之結構及裝置、以及一種用於執行此些方法及/或形成此些結構及/或裝置的設備。此些層可在各種應用中使用,包括功函數調整層及臨界電壓調整層。例如,它們可被用在n通道或p通道金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistors,MOSFET)的一閘極電極中。
本文描述一種形成一材料於一基板上的方法。此方法包括提供一基板至一反應室。接著,此方法包括執行一或多個超循環。一超循環包括一或多個過渡金屬子循環及一13族元素子循環。一過渡金屬子循環包括一過渡金屬前驅物脈衝。此過渡金屬前驅物脈衝包括將此基板暴露於一過渡金屬前驅物。此13族元素子循環包括一13族元素前驅物脈衝,其包括將此基板暴露於一13族元素前驅物。
在一些實施例中,至少一超循環包括複數個過渡金屬子循環。
在一些實施例中,此過渡金屬子循環更包括一氮反應物脈衝,此氮反應物脈衝包括將此基板暴露於一氮反應物。
在一些實施例中,此13族元素子循環更包括一碳反應物脈衝,其包括將此基板暴露於一碳反應物。
在一些實施例中,一超循環包括複數個13族元素子循環。
在一些實施例中,此過渡金屬前驅物包括一過渡金屬鹵化物。
在一些實施例中,此過渡金屬鹵化物包括TiCl 4
在一些實施例中,此氮反應物包括氮及氫。
在一些實施例中,此氮反應物包括NH 3
在一些實施例中,此13族元素前驅物包括烷基鋁。
在一些實施例中,此烷基鋁包括三甲基鋁。
在一些實施例中,此13族元素前驅物包括鋁。
在一些實施例中,此13族元素前驅物具有MR 1 2R 2的通式,其中M為13族元素,其中R 1為第一烷基,其中R 2為第二烷基,且其中R 1及R 2不同。
在一些實施例中,R 1為第三丁基(tert-butyl),且R 2為甲基。
在一些實施例中,此碳反應物包括碳、氫及至少兩個不飽和碳-碳鍵結。
在一些實施例中,此碳反應物包括環己二烯(cyclohexadiene)。
在一些實施例中,後續的超循環係由一超循環間吹掃所分開。
在一些實施例中,後續的過渡金屬子循環係由一子循環間吹掃所分開。
在一些實施例中,此過渡金屬前驅物脈衝係藉由一過渡金屬子循環內吹掃而與此氮反應物脈衝分開。
在一些實施例中,在此13族元素子循環之前有一13族元素子循環預吹掃。
在一些實施例中,此13族元素前驅物脈衝及此碳反應物脈衝係被一13族元素子循環間吹掃分開。
在一些實施例中,此基板包括一單晶矽晶圓。
本文更揭示一場效電晶體,其包括一柵極接點,此柵極接點包括根據本文所述之方法所形成的一層。
本文更揭示一金屬-絕緣體-金屬金屬電極,其包括利用本文所述之方法所沉積的一層。
本文更揭示一VNAND接點,其包括利用本文所述之方法所沉積的一層。
本文更揭示一種系統,其包括一反應室、一過渡金屬前驅物氣體源、一13族元素前驅物氣體源、一氮反應物氣體源、及一控制器。此過渡金屬前驅物氣體源包括一過渡金屬前驅物。此過渡金屬前驅物包括一過渡金屬。此13族元素前驅物氣體源包括一13族元素前驅物。此13族元素前驅物包括一13族元素。此氮反應物氣體源包括一氮反應物。此氮反應物包括氮。此控制器係被配置以利用本文所述之方法來控制氣流進入反應室,以形成一材料於此基板上。
熟習本領域的技藝人士將可從以下某些實施例的詳細說明並參照隨附的圖式來明白這些及其他實施例。本揭露不受限於任何所揭示之具體實施例。
以下所提供之方法、結構、裝置及系統之例示性實施例的描述僅係例示性,且僅係在於說明之目的;以下之描述無意限制本揭露或申請專利範圍之範疇。此外,描繪具有所述特徵之多個實施例不意欲排除具有額外特徵之其他實施例或併有所述特徵之不同組合的其他實施例。例如,各種實施例係被提出作為例示性實施例,並可引述於附屬項中。除非另有註明,否則例示性實施例或其組件可被組合或可彼此分開來應用。
詳如下述,本揭露之各種實施例提供形成結構,例如:閘極電極結構之方法。例如,例示性方法可用以形成互補式金氧半導體裝置或這類裝置的部分。儘管如此,且除非另有註明,否則本揭露不必然受限於這類實例。
在本揭露中,「氣體」可包括在常溫及常壓(normal temperature and pressure,NTP)下為氣體之材料、汽化固體、及/或汽化液體,並可取決於使用語境而由單一氣體或多個氣體混合物構成。除了製程氣體以外的氣體,亦即:不通過氣體分配設備、其他氣體分配裝置、或其他類似者所引入的氣體,可被用來,例如,密封此反應空間,並可包括一密封氣體,例如:一稀有氣體。在一些情況下,用語「前驅物」可指參與化學反應產出另一化合物之化合物,特別是構成一薄膜基質(film matrix)或一薄膜的主基幹(main skeleton)之化合物;用語「反應物」可與用語前驅物互換使用。
如本文所用,用語「基板」可指任何能夠用於形成或在其上形成裝置、電路或薄膜的一或多種底層材料。基板可包括塊材(bulk material)(例如:矽,譬如:單晶矽)、其他IV族材料(例如:鍺)、或其他半導體材料(例如:II-VI族或III-V族半導體材料),並可包括覆蓋在此塊材上方或下方的一或多個層。此外,此基板可包括各種特徵,例如:凹部、凸部等,形成在此基板之一層的至少一部分之內或之上。舉例而言,一基板可包括半導體塊材及覆蓋於此半導體塊材之至少一部分上方的一絕緣或介電材料層。
如本文所用,用語「膜」及/或「層」可指任何連續或不連續的結構及材料,例如:藉由本文所揭示之方法所沉積之材料。舉例來說,薄膜及/或層可包括二維材料、三維材料、奈米粒子、部分或完整的分子層、或部分或完整的原子層、或原子及/或分子團簇。一薄膜或層可部分或全部由位在一基板的表面上、及/或嵌入一基板中、及/或嵌入此基板上所製造之一裝置中的複數個分散的原子所組成。一薄膜或層可包括具有小孔(pinholes)及/或孤立島(isolated islands)的材料或層。一薄膜或層可為至少部分連續。一薄膜或層可被圖案化,例如:被細分,且可包含在複數個半導體裝置中。
如本文所用,「結構」可為或可包括如本文所述之基板。結構可包括覆蓋於此基板上方的一或多個層,例如:根據本文所述之方法的實施例所形成的一或多個層。裝置的部分可為或可包括結構。
如本文所用,用語「沉積製程」可指將前驅物(及/或反應物)引入一反應室,以在一基板上沉積一層。「循環沉積製程」係「沉積製程」的實例。
用語「循環沉積製程」、「循環的沉積製程」可指將前驅物(及/或反應物)循序引入一反應室中,以在一基板上方沉積一層,並包括製程技術,例如:原子層沉積(atomic layer deposition,ALD)、循環化學氣相沉積(cyclical chemical vapor deposition,cyclical CVD)、及包括原子層沉積成分及循環化學氣相沉積成分之混合式循環沉積製程(hybrid cyclical deposition processes)。
用語「原子層沉積」可指氣相沉積製程,其中沉積循環,一般係複數個接續的沉積循環,係在一製程室中實施。如本文所用,當以前驅物/反應性氣體及吹掃(例如:惰性載體)氣體的交替脈衝進行時,用語原子層沉積亦意謂包括由相關用語所指定的製程,例如:化學氣相原子層沉積(chemical vapor atomic layer deposition)、原子層磊晶(atomic layer epitaxy,ALE)、分子束磊晶(molecular beam epitaxy,MBE)、氣體源分子束磊晶(gas source MBE)、有機金屬分子束磊晶(metalorganic MBE)、以及化學束磊晶(chemical beam epitaxy)。
一般就原子層沉積製程而言,在每一個循環期間,前驅物係被引入一反應室且被化學吸附至一沉積表面(例如:一基板表面,其可包括來自一先前原子層沉積循環之先前所沉積之材料或其他材料),並形成不易與額外的前驅物起反應(亦即:自我侷限反應)的材料單層(monolayer)或亞單層(sub-monolayer)。其後,可接著將反應物(例如:另一前驅物或反應氣體)引入此製程室中,以用在將此被化學吸附之前驅物轉換成此沉積表面上所需之材料。此反應物能夠進一步與此前驅物起反應。在一或多個循環期間,例如:在每個循環的每個步驟期間,可利用多個吹掃步驟,以將任何過多的前驅物從此製程室中移除,及/或將任何過多的反應物及/或反應副產物從此反應室移除。
如本文所用,用語「吹掃」可指在兩相互會起反應的氣體脈衝之間提供一惰性或實質上惰性的氣體至一反應室的程序。例如,可在一前驅物脈衝與一反應物脈衝之間提供一吹掃,例如:使用惰性氣體,藉此避免或至少將此前驅物與此反應物之間的氣相交互作用降到最低。應理解的是,吹掃可在時間上、或空間上、或兩者上實現。例如,在時間性吹掃的情況下,一吹掃步驟可(例如)依以下的時間順序使用:提供一第一前驅物至一反應室、提供一吹掃氣體至此反應室、及提供一第二前驅物至此反應室,其中沉積有一層的此基板並未移動。例如,在空間性吹掃之情況下,一吹掃步驟可採取以下方式:使一基板從連續供應一第一前驅物的一第一位置,經過一吹掃氣簾(gas curtain),進而移動到連續供應一第二前驅物的一第二位置。
如本文所用,「前驅物」包括氣體或材料,其可變成氣態且可由一化學式表示,此化學式包括可在如本文所述之沉積製程期間所包含之元素。
用語「氮反應物」可指氣體或材料,其可變成氣態且可由包括氮的一化學式表示。在一些情況下,此化學式包括氮及氫。在一些情況下,此氮反應物不包括雙原子氮。
用語「氧反應物」可指氣體或材料,其可變成氣態且可由包括氧的一化學式來表示。在一些情況下,此化學式包括氧及氫。
再者,在本揭露中,一變數之任兩個數字可構成此變數之一可工作範圍,且所指示之任何範圍可包括或排除端值。此外,所指示的變數之任何數值(不管此些數值是否冠以「約」來表示)可指精確值或近似值並包括等效值,且可指平均值、中間值、代表值、多數值等。另外,在本揭露中,一些實施例中的用語「包括」、「由…所構成」及「具有」係獨立地指「一般或廣泛包括」、「包含」、「基本上由…所組成」或「由…所組成」。
在本揭露的一些實施例中,任何已定義之意義不必然排除一般通常意義。
在一態樣中,本文描述一種形成一材料於一基板上的方法。此方法包括提供一基板至一反應室,並執行複數個超循環。一超循環包括一或多個過渡金屬子循環及一13族元素子循環。在一些實施例中,一超循環包括複數個過渡金屬子循環。此過渡金屬子循環包括一過渡金屬前驅物脈衝,其依序包括將此基板暴露於一過渡金屬前驅物。在一些實施例中,此一或多個過渡金屬子循環更包括一氮反應物脈衝,其依序包括將此基板暴露於一氮反應物。此13族元素子循環包括一13族元素前驅物脈衝,其包括將此基板暴露於一13族元素前驅物。如此,可將包含一過渡金屬及一13族元素之材料形成於此基板上。
在一些實施例中,一超循環包括依序執行一過渡金屬子循環及一13族元素子循環。在一些實施例中,一過渡金屬子循環包括依序執行一過渡金屬前驅物脈衝及一氮反應物脈衝。在一些實施例中,一13族元素子循環包括依序執行一13族元素前驅物脈衝及一碳反應物脈衝。
利用先前所述之方法而在一基板上所形成之材料可助於,例如,作為在P型或N型金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistors,MOSFET)之閘極電極中的閘極堆疊功函數調變金屬。額外或替代地,它們可被用於金屬-絕緣體-金屬(MIM)金屬電極及/或垂直式NAND(VNAND)接點中。
熟習本領域的技藝人士應清楚,本文所述之方法可包括一循環沉積製程,其可包括循環化學氣相沉積(CVD)、原子層沉積(ALD)、或混合式循環化學氣相沉積/原子層沉積製程。例如,在一些實施例中,特定之原子層沉積製程的生長速率可較化學氣相沉積製程者為低。提高生長速率的方法可以是在比原子層沉積製程中通常使用的沉積溫度還要更高的沉積溫度下操作,從而導致某部分的化學氣相沉積製程,亦即非自我侷限反應的部分,但仍然利用循序引入反應物。此一製程可稱為循環化學氣相沉積。在一些實施例中,一循環化學氣相沉積製程可包括將二或多個前驅物或反應物引入至此反應室,其中此反應室中的二或多個反應物之間可能存在重疊的時間段,從而導致此沉積的一原子層沉積成分與此沉積的一化學氣相沉積成分兩者。這稱作混合式製程。根據又一實例,一循環沉積製程可包括一反應物或前驅物的一連續流及一第二反應物或前驅物的週期性脈衝進入此反應室。
根據本揭露之某些實例,形成此材料包括一熱沉積製程。在這些例子中,此沉積製程不包括使用電漿來形成用於此沉積製程中的活化物種。
在一些實施例中,此13族元素子循環更包括一碳反應物脈衝。此碳反應物脈衝包括將此基板暴露於一碳反應物。如此,可將包括此過渡金屬、氮、此13族元素、及碳的一材料形成於此基板上。
在一些實施例中,此碳反應物包括碳、氫及至少兩個不飽和碳-碳鍵結。在一些實施例中,此碳反應物包括環狀二烯(cyclic alkadiene)。在一些實施例中,此碳反應物包括環己二烯(cyclohexadiene)。合適之環己二烯包括1,4-環己二烯(1,4-Cyclohexadiene)及1,3-環己二烯(1,3-cyclohexadiene)。在一些實施例中,此碳反應物包括一環狀烴,其具有至少兩個不飽和碳-碳鍵結。
在一些實施例中,此超循環包括複數個13族元素子循環。
在一些實施例中,此方法包括執行複數個超循環。本文所述之方法中所包含之超循環的總數尤其取決於所需的總層厚度。在一些實施例中,此方法包括從至少1個超循環到至多100個超循環、或從至少2個超循環至最多80個超循環、或從至少3個超循環到至多70個超循環、或從至少4個超循環到至多60個超循環、或從至少5個超循環到至多50個超循環、或從至少10個超循環到至多40個超循環、或從至少20個超循環到至多30個超循環。在一些實施例中,此方法包括最多100個超循環、或最多90個超循環、或最多80個超循環、或最多70個超循環、或最多60個超循環、或最多50個超循環、或最多40個超循環、或最多30個超循環、或最多20個超循環、或最多10個超循環、或最多5個超循環、或最多4個超循環、或最多3個超循環、或最多2個超循環。在一些實施例中,此方法包括至少1個超循環、或至少2個超循環、或至少5個超循環、或至少10個超循環、或至少20個超循環、或至少50個超循環、或至少100個超循環。
在一些實施例中,根據本揭露之實施例所形成含有一材料之層的厚度是從至少0.2奈米到至多5奈米、或從至少0.3奈米到至多4奈米、或從至少0.4奈米到至多3奈米、或從至少0.5奈米到至多2奈米、或從至少0.7奈米到至多1.5奈米、或從至少0.9奈米到至多1.0奈米。
在一些實施例中,一超循環包括從至少1個到至多1000個過渡金屬子循環、或從至少2個到至多5個過渡金屬子循環、或從至少5個到至多10個過渡金屬子循環、或從至少10個到至多20個過渡金屬子循環、或從至少20個到至多50個過渡金屬子循環、或從至少50個到至多100個過渡金屬子循環、或從至少100個到至多200個過渡金屬子循環、或從至少200個到至多500個過渡金屬子循環、或從至少500個到至多1000個過渡金屬子循環。
在一些實施例中, 一超循環包括從至少1個到至多1000個13族元素子循環、或從至少2個到至多5個13族元素子循環、或從至少5個到至多10個13族元素子循環、或從至少10個到至多20個13族元素子循環、或從至少20個到至多50個13族元素子循環、或從至少50個到至多100個13族元素子循環、或從至少100個到至多200個13族元素子循環、或從至少200個到至多500個13族元素子循環、或從至少500個到至多1000個13族元素子循環。
在一些實施例中,一超循環包括從至少1個到至多1000個過渡金屬子循環和從至少1個到至多1000個13族元素子循環。在一些實施例中,一超循環包括從至少2個到至多500個過渡金屬子循環和從至少1個到至多1000個13族元素子循環。在一些實施例中,一超循環包括從至少5個到至多200個過渡金屬子循環和從至少1個到至多1000個13族元素子循環。在一些實施例中,一超循環包括從至少10個到至多100個過渡金屬子循環和從至少1個到至多1000個13族元素子循環。在一些實施例中,一超循環包括從至少20個到至多50個過渡金屬子循環和從至少1個到至多1000個13族元素子循環。
在一些實施例中,一超循環包括從至少1個到至多1000個過渡金屬子循環和從至少2個到至多500個13族元素子循環。在一些實施例中,一超循環包括從至少1個到至多1000個過渡金屬子循環和從至少5個到至多200個13族元素子循環。在一些實施例中,一超循環包括從至少1個到至多1000個過渡金屬子循環和從至少10個到至多100個13族元素子循環。在一些實施例中,一超循環包括從至少1個到至多1000個過渡金屬子循環和從至少20個到至多50個13族元素子循環。
在一些實施例中,此13族元素前驅物包括一13族金屬。合適的13族金屬包括Al、Ga及In。在一些實施例中,此13族元素前驅物包括鋁。
在一些實施例中,此13族元素前驅物具有MR 1 2R 2的通式,其中R 1為第一烷基,其中R 2為第二烷基,且其中R 1及R 2不同。在一些實施例中,R 1係選自丙基、丁基、戊基及己基;且M係選自B、Al、Ga及In。在一些實施例中,R 2為甲基或乙基。在一些實施例中,R 1為第三丁基,且R 2為甲基。
在一些實施例中,此13族元素前驅物包括具有通式MR 3之化合物,其中M係選自B、Al、Ga及In之13族元素;且其中R為C 1至C 4烷基。在一些實施例中,此13族元素前驅物包括烷基鋁。在一些實施例中,此烷基鋁包括三甲基鋁(trimethylaluminum)。
在一些實施例中,此過渡金屬前驅物係選自一鹵化物、一鹵氧化物、及一有機金屬化合物。合適的鹵氧化物包括氧氯化物。合適的有機金屬化合物包括β-二酮酸鹽(beta diketonates)。在一些實施例中,此過渡金屬前驅物包括一過渡金屬鹵化物。合適的過渡金屬鹵化物包括過渡金屬氟化物、過渡金屬氯化物、過渡金屬溴化物、及過渡金屬碘化物。所提之過渡金屬可具有任何合適的氧化態,例如+1、+2、+3、+4、+5或+6。在一些實施例中,此過渡金屬前驅物包括一過渡金屬,其係選自Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Ru、Rh、Lu、Hf、Ta、及W。在一些實施例中,此過渡金屬鹵化物包括TiCl 4
在一些實施例中,此氮反應物包括氮及氫。
例示性氮反應物可選自氨(NH 3)、肼(N 2H 4)、烷基取代肼、其他含氮及氫之氣體(例如:氮氣及氫氣之混合物)及類似者中之一或多者。合適的烷基取代肼包括二甲基肼及第三丁基肼。此氮反應物可包括氮及氫,或者由氮及氫所組成。在一些情況下,此氮反應物不包括雙原子氮。在一些實施例中,此氮反應物包括氨及/或肼。在一些實施例中,此氮反應物包括氨。在一些實施例中,此氮反應物包括肼。在一些實施例中,此氮反應物包括一或多個選自NH 3、N2H 2、及R 1-N=N-R 2的氣體,其中R 1和R 2係獨立地選自H及烴基。合適的烴基包括C1至C4烷基,例如:甲基、乙基、丙基及丁基。此C1至C4烷基包括直鏈及支鏈異構物,例如:異丙基、異丁基、第二丁基、及第三丁基。
在一些實施例中,利用本文所述之方法形成的層之過渡金屬含量係從至少1.0原子百分比(atomic percent)到至多99.0原子百分比、或從至少3.0原子百分比到至多97.0原子百分比、或從至少5.0原子百分比到至多95.0原子百分比、或從至少10.0原子百分比到至多90.0原子百分比、或從至少20.0原子百分比到至多80.0原子百分比、或從至少30.0原子百分比到至多70.0原子百分比、或從至少40.0原子百分比到至多60.0原子百分比。
在一些實施例中,利用如本文所述之方法所形成的層之13族元素含量係從至少1.0原子百分比到至多99.0原子百分比、或從至少3.0原子百分比到至多97.0原子百分比、或從至少5.0原子百分比到至多95.0原子百分比、或從至少10.0原子百分比到至多90.0原子百分比、或從至少20.0原子百分比到至多80.0原子百分比、或從至少30.0原子百分比到至多70.0原子百分比、或從至少40.0原子百分比到至多60.0原子百分比。
在一些實施例中,利用本文所述之方法所形成的層之氮含量係從至少1.0原子百分比到至多99.0原子百分比、或從至少3.0原子百分比到至多97.0原子百分比、或從至少5.0原子百分比到至多95.0原子百分比、或從至少10.0原子百分比到至多90.0原子百分比、或從至少20.0原子百分比到至多80.0原子百分比、或從至少30.0原子百分比到至多70.0原子百分比、或從至少40.0原子百分比到至多60.0原子百分比。
在一些實施例中,利用本文所述之方法所形成的層之碳含量係從至少1.0原子百分比到至多99.0原子百分比、或從至少3.0原子百分比到至多97.0原子百分比、或從至少5.0原子百分比到至多95.0原子百分比、或從至少10.0原子百分比到至多90.0原子百分比、或從至少20.0原子百分比到至多80.0原子百分比、或從至少30.0原子百分比到至多70.0原子百分比、或從至少40.0原子百分比到至多60.0原子百分比。
在一些實施例中,後續的超循環係由一超循環間吹掃所分開。在一些實施例中,一超循環間吹掃係持續從至少10毫秒到至多100毫秒、或從至少100毫秒到至多1000毫秒、或從至少1000毫秒到至多10秒。
在一些實施例中,後續的過渡金屬子循環係由一子循環間吹掃所分開。在一些實施例中,一過渡金屬子循環間吹掃係持續從至少10毫秒到至多100毫秒、或從至少100毫秒到至多1000毫秒、或從至少1000毫秒到至多10秒。
在一些實施例中,此過渡金屬前驅物脈衝係藉由一過渡金屬子循環內吹掃而與此氮反應物脈衝分開。在一些實施例中,一過渡金屬子循環內吹掃係持續從至少10毫秒到至多100毫秒、或從至少100毫秒到至多1000毫秒、或從至少1000毫秒到至多10秒。
在一些實施例中,在此13族元素子循環之前有一13族元素子循環預吹掃。在一些實施例中,一13族元素子循環預吹掃係持續從至少10毫秒到至多100毫秒、或從至少100毫秒到至多1000毫秒、或從至少1000毫秒到至多10秒。
在一些實施例中,此13族元素前驅物脈衝及此碳反應物脈衝係被一13族元素子循環間吹掃分開。在一些實施例中,一13族元素子循環間吹掃係持續從至少10毫秒到至多100毫秒、或從至少100毫秒到至多1000毫秒、或從至少1000毫秒到至多10秒。
應理解的是,在一些實施例中,在本文所述之方法的後續部分之間提供一吹掃,可使不同前驅物與反應物之間的寄生反應達到最小化。
在一些實施例中,此過渡金屬前驅物脈衝及此氮反應物脈衝係至少部分地重疊。
在一些實施例中,此過渡此氮反應物脈衝與此13族元素前驅物脈衝係至少部分地重疊。
在一些實施例中,此13族元素前驅物脈衝及此碳反應物脈衝係至少部分地重疊。
單晶矽晶圓可算是一合適的基板。其他基板也可以是合適的,例如:單晶鍺晶圓、砷化鎵晶圓、石英、藍寶石、玻璃、鋼、鋁、絕緣體覆矽基板、塑膠等。
在一些實施例中,在具有深寬比(高度/寬度)大於約2、大於約5、大於約10、大於約25、大於約50、大於約100、或介於約10與100之間、或約5至約25的間隙之內或其上,含有根據本揭露之實施例所形成之一材料的一層具有一階梯覆蓋,其等於或大於約50%、或大於約80%、或大於約90%、或約95%、或約98%、或約99%、或是更大。應理解的是,用語「階梯覆蓋」係指在一間隙內之此層的厚度相對於此間隙外之此層的厚度。
在一些實施例中,此材料形成時的基板溫度係小於500°C、或至少50°C到至多500°C、或至少75°C到至多400°C、或至少100°C到至多300°C、或至少100°C到至多200°C、或至少100°C到至多150°C。
在一些實施例中,本文所述之方法進行時之壓力係小於1000帕、或至少0.1帕到至多1.0帕、或至少1.0帕到至多10帕、或至少10帕到至多100帕、或至少100帕到至多1000帕、或至少1000帕到至多10000帕。
在一些實施例中,一或多種前驅物及/或反應物係由一載流氣體來挾帶。在一些實施例中,提供此載流氣體之流率係至少0.2每分鐘標準公升數(slpm)到至多2.0每分鐘標準公升數、或從至少0.3每分鐘標準公升數到至多1.5每分鐘標準公升數、或從至少0.4每分鐘標準公升數到至多1.0每分鐘標準公升數、或從至少0.5每分鐘標準公升數到至多0.7每分鐘標準公升數。在一些實施例中,N 2係用作載流氣體。在一些實施例中,稀有氣體係用作載流氣體。合適的稀有氣體可包括He、Ne、Ar、Kr及Xe。
在一些實施例中,本文所述之方法係被執行,直至此基板上形成有厚度至少0.2奈米到至多5奈米的一含材料層為止。
在一些實施例中,本文所述之方法係用來形成一材料於一基板上,此材料包括一或多個雙層(bilayer)。一雙層包括一過渡金屬子層及一13族元素子層。在一些實施例中,此材料包括從至少1個雙層到至多100個雙層、或從至少1個雙層到至多2個雙層、或從至少2個雙層到至多5個雙層、或從至少5個雙層到至多10個雙層、或從至少10個雙層到至多20個雙層、或從至少20個雙層到至多50個雙層、或從至少50個雙層到至多100個雙層。在一些實施例中,此過渡金屬子層之厚度可以是至少0.1奈米到至多10奈米、或從至少0.1奈米到至多0.2奈米、或從至少0.2奈米到至多0.5奈米、或從至少0.5奈米到至多1.0奈米、或從至少1.0奈米到至多2.0奈米、或從至少2.0奈米到至多5.0奈米、或從至少5.0奈米到至多10.0奈米。在一些實施例中,此13族元素子層之厚度可以是至少0.1奈米到至多10奈米、或從至少0.1奈米到至多0.2奈米、或從至少0.2奈米到至多0.5奈米、或從至少0.5奈米到至多1.0奈米、或從至少1.0奈米到至多2.0奈米、或從至少2.0奈米到至多5.0奈米、或從至少5.0奈米到至多10.0奈米。
根據本揭露的又一額外的實施例,可使用如本文所述之方法及/或結構來形成一裝置或其部分。此裝置可包括一基板、一絕緣或介電層、一材料層、及可選的一額外金屬層,此材料層包含根據本文所述之方法的實施例所形成的一材料且覆蓋在此絕緣或介電層上方,此額外金屬層係覆蓋在含有根據本文所述之方法所形成的材料的此材料層上方。例如,此裝置可以是或形成金屬氧化物半導體場效電晶體(例如:P型金屬氧化物半導體場效電晶體或N型金屬氧化物半導體場效電晶體)的一部分。因此,本文更揭示一場效電晶體。它包括一閘極接點,此閘極接點依序包括根據本文所述之方法的實施例所形成的一層。合適的場效電晶體包括鰭式場效電晶體(FINFETS)、叉型片裝置、及閘極全環金屬氧化物半導體場效電晶體。
本文所述之層可適用在一電容之接點中,例如:金屬-絕緣體-金屬電容(MIM),其可在,例如,動態隨機存取記憶體記憶體中遇到。因此,本文更揭示一金屬-絕緣體-金屬金屬電極,其包括利用本文所述之方法所沉積的一層。
本文所述之層可適當地被用在一浮動閘極記憶單元之接點中,例如可用於VNAND記憶體中。因此,本文更揭示一VNAND接點,其包括利用本文所述的方法所沉積的一層。
本文更揭示一導線,其部分地或完全地襯接包含利用本揭露的方法所形成之材料的一層。在一些實施例中,此導線包括銅。在一些實施例中,此導線包括鈷。在一些實施例中,此導線包括銅及鈷。在一些實施例中,此導線包括鎢。在一些實施例中,此導線包括鉬。在一些實施例中,此導線包括由或基本上由鉬、銅及/或鎢所組成的一芯線。有利地,此層之形成係根據如本文所述之在反應室中形成一層於基板上之方法。應理解的是,用語「導線」可指一互連件或指複數個互連件,其通常會在積體電路中遇到。
本文更揭示一種系統,其包括一反應室、一過渡金屬前驅物氣體源、一13族元素前驅物氣體源、一可選的氮反應物氣體源、一可選的碳反應物氣體源、及一控制器。此過渡金屬前驅物氣體源包括一過渡金屬前驅物。此過渡金屬前驅物依序包括一過渡金屬。此13族元素前驅物氣體源包括一13族元素前驅物。此13族元素前驅物依序包括一13族元素。此氮反應物氣體源包括一氮反應物。此氮反應物依序包括氮。在一些實施例中,此系統更包括一碳反應物氣體源,其包括一碳反應物。應理解的是,此碳反應物包括碳。此控制器係被配置以利用本文所述之方法來控制氣流進入反應室,以形成一材料於此基板上。
第1圖係顯示本文所述之方法的實施例之示意圖。此方法(100)可用於(例如)形成一閘極電極結構,適用於N型金氧半導體(NMOS)、P型金氧半導體及/或互補式金氧半導體裝置,例如作為供一金屬氧化物半導體場效應電晶體的閘極或源極之用的功函數金屬,。然而,除非另有說明,方法不受限於這類的應用。此方法包括將一基板定位在一基板支架上的步驟(111)。此基板支架係被定位在一反應室中。合適的基板支架包括基座、承載座等。接著將此基板暴露於一過渡金屬前驅物(112)及一13族元素前驅物(116)。可選地,將此基板暴露於一氮反應物(115)及一碳反應物(117)。
將此基板暴露於一過渡金屬前驅物(112)及可選地將此基板暴露於一氮反應物(115)之步驟可被重複(120)一或多次,以形成複數個過渡金屬子循環。
在一些實施例中,將此基板暴露於一過渡金屬前驅物(112)、一氮反應物(115)、一13族元素前驅物(116)、及一碳反應物(117)之步驟係連續地進行。換言之,在一些實施例中,此些步驟沒有重疊。在一些實施例中,將此基板暴露於一過渡金屬前驅物(112)、一氮反應物(115)、一13族元素前驅物(116)、及一碳反應物(117)之一或多個步驟係至少部分地重疊。在一些實施例中,將此基板暴露於一過渡金屬前驅物(112)、一氮反應物(115)、一13族元素前驅物(116)、及一碳反應物(117)之一或多個步驟係連續地進行,而其餘步驟係依序進行。
可選地,在將此基板暴露於一過渡金屬前驅物(112)、將此基板暴露於一氮反應物(115)、將此基板暴露於一13族元素前驅物(116)、及將此基板暴露於一碳反應物(117)的一或多個步驟之後,將此基板暴露於一吹掃氣體。這類吹掃可(例如)利用惰性氣體來完成。例示性的惰性氣體包括He、Ne、Ar、Xe、及Kr。吹掃可包括提供一吹掃氣體至一反應室。替代地,吹掃可包括輸送此基板通過一吹掃氣簾。在吹掃期間,多餘的化學物質與反應副產物(若有的話)可在此基板接觸下一個反應性化學物質之前,從此基板表面被移除。
在一些實施例中,將此基板暴露於一過渡金屬前驅物(112)、可選地將此基板暴露於一氮反應物(115)、將此基板暴露於一13族元素前驅物(116)、及可選地將此基板暴露於一碳反應物(117)係被重複(119)一或多次。
此方法係持續直至此基板上形成一層,其包含具有一預定厚度的材料,此時此方法結束(118)。
第2圖係顯示如本文所述之方法(200)的實施例之另一示意圖。此方法(200)包括將一基板定位於一基板支架上之步驟(210)。其後,此方法(200)包括一過渡金屬子循環(220)。可選地,此過渡金屬子循環(220)係被重複(250)一或多次。接著,此方法(200)包括一13族元素子循環(230)。可選地,此13族元素子循環(230)係被重複一或多次。再者,此方法可選擇性地包括執行複數個超循環(270)。一超循環(270)包括一或多個過渡金屬子循環(220),及或多個13族元素子循環(230)。一過渡金屬子循環(220)包括一過渡金屬前驅物脈衝及可選的一氮反應物脈衝。一13族元素子循環(230)包括一13族元素前驅物脈衝及可選的一碳反應物脈衝。所執行之超循環(270)的總數係經選擇,以實現一預定量之材料沉積於此基板上。當此一預定量的材料已沉積於此基板上時,此方法(200)結束(240)。
根據第1圖或第2圖之方法可包括在此反應室內將此基板加熱至一所想要的沉積溫度。在一些實施例中,根據第1圖或第2圖之方法包括將此基板加熱至小於500°C的溫度。例如,在本揭露之一些實施例中,將此基板加熱至一沉積溫度可包括將此基板加熱至介於大約100°C與大約500°C之間、大約200°C與大約400°C之間、或大約250°C與大約350°C之間的溫度。
除了控制此基板的溫度之外,還可調節此反應室內的壓力。例如,在本揭露之一些實施例中,在根據第1圖或第2圖之方法的期間,此反應室內之壓力可小於760托、或介於0.2托與760托之間、介於約0.5托與100托之間、或介於約1托與10托之間、或小於5托。
第3圖根據本揭露的額外實例繪示一裝置(300)的結構/部分。此裝置或結構(300)包括一基板(302)、一介電或絕緣材料(305)、及根據本文所述之方法的實施例所形成的一層(308)。在例示實例中,此結構(300)亦包括一額外可選的導電層(310)。
此基板(302)可為或可包括本文所述的任何基板材料。
此介電或絕緣材料(305)可包括一或多個介電或絕緣材料層。舉例來說,此介電或絕緣材料(305)可包括一介面層(304)及沉積覆蓋於此介面層(304)上方的一高介電常數(high-k)材料(306)。在一些情況下,此介面層(304)可能不存在,或可能相當程度上不存在。此介面層(304)可包括一氧化物,例如:氧化矽,其可利用,例如,一化學氧化製程或一氧化物沉積製程而被形成在此基板(302)的表面上。一高介電常數材料(306)可為或可包括,例如,一金屬氧化物,其介電常數大於約7。在一些實施例中,此高介電常數材料之介電常數高於此氧化矽之介電常數。例示性高介電常數材料包括以下中之一或多者:氧化鉿(HfO 2)、氧化鉭(Ta2O 5)、氧化鋯(ZrO 2)、氧化鈦(TiO 2)、矽酸鉿(HfSiO x)、氧化鋁(Al 2O 3)、或氧化鑭(La 2O 3)、或其混合物/層合物。根據本文所述之方法的實施例所形成的層(308)可包括一或多種元素,其組成展現一濃度梯度。換言之,它的成分之濃度可從此層(308)的底部變化至此層(308)的頂部,例如藉由在一或多個沉積循環期間控制前驅物及/或反應物及/或對應之脈衝時間的量。在一些情況下,本文所述之方法所沉積的此層(308)可具有一化學計量組成。它的功函數以及其他性質可藉由變更其組成而被更改。
本文所述之方法所形成之層(308)包括一過渡金屬、一13族元素、可選的氮、及可選的碳。它可包括雜質,例如鹵化物、氫等。在一些實施例中,單獨或組合的雜質含量可少於1原子百分比、少於0.2原子百分比、或少於0.1原子百分比、或少於0.05原子百分比。
額外的導電層(312)可包括(例如)金屬,譬如:耐火金屬(refractory metal)等。
在一些實施例中(未圖示),本文所述之方法所形成之層(308)可被定位於兩個導電層(例如:金屬或金屬氮化物)之間,其具有不同於本文所述之方法所形成的層(308)之組成。
在一些實施例中(未圖示),另一導電(例如:金屬或金屬氮化物)層可被定位於一高介電常數介電層與本文所述之方法所形成之一層(308)之間。此另一導電層可適當地具有2.0奈米或更小的厚度,例如0.5奈米、1.0奈米或1.5奈米之厚度。據此,電極被形成,其中本文所述之方法所形成之一層(308)可適當地改變此電極之功函數,而不會改變此電極與此高介電常數介電質之間的界面化學性質。
利用本文所述之方法所形成之此層(308)的厚度可根據用途而變化。舉例來說,此層308的厚度可小於5奈米、或約0.2奈米至約5奈米、或約0.3奈米至約3奈米、或約0.3奈米至約1奈米。當用於,例如,控制P型金屬氧化物半導體場效電晶體中的臨界電壓(threshold voltage)時,根據本文所述之方法所形成的此層(308)可能會相對較薄,這對於包括功函數及/或臨界電壓調整層的許多應用來說可能是更想要的。例示性厚度是從0.1奈米至5.0奈米、0.2奈米至4.0奈米、0.3奈米至3.0奈米、0.4奈米至2.0奈米、0.5奈米至1.5奈米、0.7奈米至1.3奈米、或0.9奈米至1.1奈米。在一些實施例中,此層之厚度可小於0.1奈米。應理解,這類層不必然是連續的,且甚至是可由複數個隔離的原子團簇及/或複數個隔離的原子所組成。
在一些實施例中,根據本文所述之方法的實施例所形成之一層(308)可具有較高的厚度,例如:至少5奈米到至多100奈米、或至少10奈米到至多60奈米、或至少20奈米到至多40奈米之厚度。
額外或替代地,根據本文所述之方法的實施例,例如:使用根據第1圖或根據第2圖之方法,所形成之此層(308)可形成一連續膜,其厚度小於< 5奈米、< 4奈米、< 3奈米、< 2奈米、< 1.5奈米、< 1.2奈米、< 1.0奈米、或< 0.9奈米。根據本文所述之方法的實施例所形成的此層(308)可以是相對光滑,具有相對低的晶界形成。在一些情況下,根據本文所述之方法的實施例所形成的此層(308)可為非晶系,或可包括非晶區域。額外或替代地,此層(308)可包括相對低的柱狀晶體結構(相較於TiN)。根據本文所述之方法的實施例所形成之一例示性層(308),在厚度小於10奈米的情況下,其方均根粗糙度(RMS roughness)可以是< 1.0奈米、< 0.7奈米、< 0.5奈米、< 0.4奈米、< 0.35奈米、或< 0.3奈米。
根據本文所述之方法的實施例所形成之一層(308)的功函數可以是從至少4.2電子伏特(eV)到至多4.8電子伏特,例如:從至少4.2電子伏特到至多4.4電子伏特、或從至少4.4電子伏特到至多4.6電子伏特、或從至少4.6電子伏特到至多4.8電子伏特。相較於不包含這一層(308)的一閘極接點相比,使用這一層的一閘極接點之功函數值可被偏移約30毫電子伏特(meV)至約400毫電子伏特、或約30毫電子伏特至約200毫電子伏特、或約50毫電子伏特至約100毫電子伏特。
第4圖係根據本揭露的多個實例顯示另一結構(400)。此結構(400)適用於閘極全環場效電晶體(gate all around field effect transistors,GAA FET)(亦稱作:橫向奈米線場效電晶體(lateral nanowire FET))裝置等。
在所繪實例中,此結構(400)包括一半導體材料(402)、一介電材料(404)、根據本文所述之方法的實施例所形成之一層(406)、及一導電層(408)。在一些實施例中(未圖示),此結構還包括在介電材料和根據如本文所述的方法的實施例形成的層之間之一額外導電層。此結構(400)可被形成覆蓋在一基板上方,包括任何本文所述之基板材料。如圖所示,根據本文所述之方法的實施例所形成的此層(406)可被定位在此導電層(408)與此介電材料(406)之間。替代地,根據本文所述之方法的實施例所形成的此層(406)可被定位在此導電層408內部(實施例未示)。
此半導體材料(402)可包括任何合適的半導體材料。例如,此半導體材料(402)可包括IV族、III-V族或II-VI族半導體材料。舉例來說,此半導體材料(402)可包括矽。
此介電材料(404)可相同或類似於第3圖的上下文中所述之高介電常數材料(306)。如第3圖的上下文中所述,第4圖中之根據本文所述之方法的實施例所形成的層(406)可類似於根據本文所述之方法的實施例所形成的層(308)。
第5圖係根據本揭露的又多個額外例示性實施例顯示一系統(500)。此系統(500)可被用來執行如本文所述的方法,及/或形成如本文所述的一結構或裝置部分。
在所繪實例中,此系統(500)包括一或多個反應室(502)、一過渡金屬前驅物氣體源(504)、一可選的氮反應物氣體源(505)、一13族元素前驅物氣體源(506)、及一可選的碳反應物氣體源(508)。當然,此系統(500)可選地更包括氣體源,例如:吹掃氣體源(未圖示)。在一些實施例中,此碳反應物氣體源(508)可被省略。此系統更包括一排氣裝置(510)及一控制器(512)。
此反應室(502)可包括任何合適的反應室,例如:一原子層沉積或化學氣相沉積反應室。
任一前驅物氣體源(504、506)可包括本文所述之容器及一或多個前驅物。一前驅物氣體源(504、506)係可選地包括一混合單元,用來將前驅物與一或多種載流(例如:稀有)氣體混合。此反應物氣體源(505、508)可包括一容器及本文所述之一或多個反應物。一反應物氣體源(505、508)係可選地包括一混合單元,用來將反應物與一或多種載流氣體混合。
一吹掃氣體源(未顯示)可包括本文所述之一或多個惰性氣體。雖然繪示有四個氣體源(504到508),然而此系統(500)可包括任何合適數目的氣體源。此些氣體源(504到508)可經由管線(514到518)而被連接到一或多個反應室(502),其中管線可包括流量控制器、閥門、加熱器等。
此排氣裝置(510)可包括一或多個真空幫浦。
此控制器(512)包括電子電路及軟體,以選擇性地操作閥門、歧管、加熱器、幫浦、及系統(500)中所包含的其他組件。這類電路及組件係操作以從對應的源(504到508)引入前驅物、反應物、及吹掃氣體。此控制器(512)可控制氣體脈衝序列的時序、基板及/或反應室的溫度、反應室內的壓力、及各種其他操作,以提供此系統(500)適當的操作。
此控制器(512)可包括控制軟體來電動或氣動地控制閥門,以控制前驅物、反應物及吹掃氣體進出此反應室(502)的流動。此控制器(512)可包括多個執行某些工作的模組,例如軟體或硬體組件,譬如:現場可程式化邏輯閘陣列(FPGA)或特定應用積體電路(ASIC)。一模組可有利地被配置以駐留(reside)在此控制系統的可定址儲存媒體上,並被配置以執行一或多個程序。
此系統(500)的其他構造是可能的,包括不同數量與種類的前驅物和反應物源,及包含一或多種吹掃氣體源。此外,應明白存在許多關於閥門、導管、前驅物源與吹掃氣體源的配置,其可用來實現選擇性地將多種氣體送入此反應室(502)的目標。此外,作為一系統的示意圖,許多組件為了簡化說明而已被省略,且這類的組件可包括(例如)各種閥(valves)、歧管(manifolds)、純化器(purifiers)、加熱器(heaters)、容器(containers)、通風孔(vents)、及/或旁通道(bypasses)。
在此反應器系統(500)的操作期間,多個基板,例如:半導體晶圓(未示出),係從,例如,一基板處理系統被轉移到反應室(502)。一旦基板被轉移到反應室(502),來自多個氣體源(504到508)的一或多個氣體,例如前驅物、反應物、載流氣體、及/或吹掃氣體,則被會被引入此反應室(502)中。
第6圖係繪示一例示性動態隨機存取記憶體電容(600)。在所示的實施例中,它包括一頂電極(610、670),此頂電極包括兩部件,亦即一內殼體及一外殼體。儘管如此,此頂電極可僅包括一部件,或可包括兩個以上的部件,例如三或多個部件。應瞭解,第6圖的實施例中的頂電極(610、670)的兩部件係彼此電性連接(連接未示出),亦即,應瞭解,在正常工作期間,它們係保持相同、或大致相同的電位。
此頂電極(610、670)包括根據本文所述之方法所形成之一材料。例如,此頂電極(610、670)的厚度可以是至少0.5奈米到5.0奈米、或至少1.0奈米到至多4.0奈米、或至少2.0奈米到至多3.0奈米、或至少0.5奈米到至多2.5奈米、或至少0.6奈米到至多2.0奈米、或至少0.7奈米到至多1.5奈米。此動態隨機存取記憶體電容(600)更包括一底電極(640)。此底電極(640)包括根據本文所述之方法所形成的一層。
在一些實施例中,此底電極(640)的組成相同於此頂電極(610、670)的組成。替代地,此底電極(640)的組成可不同於此頂電極(610、670)的組成。例如,此底電極(640)之厚度可以是至少1.0奈米到至多10.0奈米、或至少3.0奈米到至多7.0奈米、或至少0.5奈米至5.0奈米、或至少1.0奈米到至多4.0奈米、或至少2.0奈米到至多3.0奈米、或至少0.5奈米到至多2.5奈米、或至少0.6奈米到至多2.0奈米、或至少0.7奈米到至多1.5奈米。此底電極(640)係透過一或多個介電層(620、630)而與此頂電極(610)的外殼分開。所示之實施例以有兩個介電層(620、630)為特徵。此一或多個介電層(620、630)可包括一高介電常數介電質。例如,此高介電常數介電質可選自包括氧化鉿(HfO 2)、氧化鉭(Ta 2O 5)、氧化鋯(ZrO 2)、氧化鈦(TiO 2)、矽酸鉿(HfSiO x)、氧化鋁(Al 2O 3)、或氧化鑭(La 2O 3)、及其混合物/層合物的列表。在一些實施例中,此兩個介電層(620、630)具有相同的組成。在一些實施例中,介電層(620)具有與介電層(630)不同的組成。例如,此兩介電層(620、630)的結合厚度可以是從至少0.5奈米到至多10.0奈米、或至少1.0奈米到至多8.0奈米、或至少2.0奈米到至多6.0奈米、或至少3.0奈米到至多4.0奈米。
此頂電極(670)的內殼係利用一或多個介電層(650、660)而與此底電極(640)分開。所示之實施例以有兩個這類介電層為特徵。此一或多個介電層(650、660)可包括一高介電常數介電質。例如,此高介電常數介電質可選自包括氧化鉿(HfO 2)、氧化鉭(Ta 2O 5)、氧化鋯(ZrO 2)、氧化鈦(TiO 2)、矽酸鉿(HfSiO x)、氧化鋁(Al 2O 3)、或氧化鑭(La 2O 3)、及其混合物/層合物的列表。在一些實施例中,介電層(650)具有與介電層(660)相同的組成。在一些實施例中,介電層(650)具有與介電層(660)不同的組成。此些介電層(650、660)的結合厚度可為,例如,從至少0.5奈米到至多10.0奈米、或至少1.0奈米到至多8.0奈米、或至少2.0奈米到至多6.0奈米、或至少3.0奈米到至多4.0奈米。在一些實施例中,此頂電極(610)的外殼與此底電極(640)之間的一或多個介電層(620、630)的厚度等於此頂電極(670)的內殼與此底電極(640)之間的一或多個介電層(650、660)的厚度,例如誤差範圍在小於2.0奈米、或小於1.5奈米、或小於1.0奈米、或小於0.5奈米、或小於0.4奈米、或小於0.3奈米、或小於0.2奈米、或小於0.1奈米內。一間隙填充介電質(680)可被置中配置在此動態隨機存取記憶體電容(680)內。例示性間隙填充介電質包括低介電常數介電質,例如:SiOC、SiOCN等。
第7圖係繪示一浮動閘極電晶體的一部分,其可用於,例如,一VNAND記憶體單元中。第7圖特別地顯示一接點暨電荷捕捉組件(contact and charge trapping assembly)(700)。此接點暨電荷捕捉組件(700)包括一金屬層(710)。此金屬層(710)可由例如銅、鎢等的金屬製成。額外或替代地,此金屬層(710)可包括利用本文所述之方法所形成的一材料。如第7圖所示,此金屬層(710)可內襯有一襯墊層(720)。此襯墊層可提高黏附性及/或可防止或至少將來自金屬層(710)的金屬(例如:銅或鎢)擴散降至最低。有利地,此襯墊層(720)包括一層,其包含利用本文所述之方法所形成的一材料。此接點暨電荷捕捉組件(700)包括一電荷捕捉層(740)。此電荷捕捉層(740)係定位在兩個介電層(730、750)之間。此電荷補捉層可包括一導電層,例如像是:氮化矽。額外或替代地,此電荷捕捉層可包括利用本文所述之方法所形成的一層。
其中一個介電層(730)係相鄰於此襯墊層(720)。例如,此介電層(730)可包含一高介電常數材料。例如,此高介電常數材料可選自包括氧化鉿(HfO 2)、氧化鉭(Ta 2O 5)、氧化鋯(ZrO 2)、氧化鈦(TiO 2)、矽酸鉿(HfSiO x)、氧化鋁(Al 2O 3)、或氧化鑭(La 2O 3)、及其混合物/層合物的列表。在一VNAND記憶體架構的一合適的結構中,另一個介電層(750)可作為一穿隧層(tunnel layer),並可相鄰於,例如,摻雜多晶矽的通道層(未顯示)。
第8圖係根據本揭露之實例繪示另一例示性結構(800)。此裝置或結構(800)包括一基板(802)、一介電材料(804)及含有利用本文所述之方法所形成之材料的層(806)。在例示實例中,此結構(800)亦包括一額外的導電層(812)。
在所繪實例中,此基板(802)包括一源極區(814)、一汲極區(816)、以及一通道區(818)。雖然繪示為一水平結構,根據本揭露之實例的結構及裝置可包括垂直及/或三維結構及裝置,例如:鰭式場效電晶體裝置及閘極全環(Gate-all-around)金屬氧化物半導體場效電晶體。
在一例示性實施例中,將參照形成TiAlCN之製程。此製程包括在此過渡金屬子循環中使用一鈦前驅物及一氮反應物,及在此13族元素子循環中使用一鋁前驅物及一碳反應物。尤其,三甲基鋁係用作一鋁前驅物,TiCl 4係用作一鈦前驅物,NH 3係用作一氮反應物,且1,4-環己二烯(1,4-cyclohexadiene)係用作一碳反應物。因此,可形成包含AlC及TiN之材料,其可助於獲得具有一中間能隙功函數(mid-gap work function)的一閘極電極,其用於一金屬氧化物半導體場效電晶體(MOSFET)。一例示性TiAlCN材料可使用三個超循環來形成,其中一單一超循環包括執行50個後續的TiN子循環及20個後續的AlC子循環。一TiN子循環包括將此基板暴露於此鈦前驅物及一氮反應物。一AlC子循環包括將此基板暴露於一鋁前驅物及一碳反應物。使用三甲基鋁、TiCl 4、1,4-環己二烯及NH 3所形成之一層在利用4點探針測量來量測時可具有每平方687歐姆之片電阻(sheet resistance),且在300毫米晶圓上之不均勻性為2.4%。
在一例示性實施例中,將參照形成TiAlN之製程。此製程包括在此過渡金屬子循環中使用一鈦前驅物及一氮反應物,且在此13族元素子循環中使用一鋁前驅物。尤其,三甲基鋁係用作一鋁前驅物,TiCl 4係用作一鈦前驅物,且NH 3係用作一氮反應物。因此,可形成包括Ti、Al及C之材料,其可助於獲得一閘極電極,其用於一金屬氧化物半導體場效電晶體(MOSFET)。一例示性TiAlN材料可,例如,使用三個超循環來形成,其中一單一超循環包括執行50個後續的TiN子循環及20個後續的Al子循環。一TiN子循環包括將此基板暴露於此鈦前驅物及一氮反應物。一Al子循環包括將此基板暴露於一鋁前驅物。使用三甲基鋁、TiCl 4及NH 3所形成之層在利用4點探針測量來量測時可具有每平方610歐姆之片電阻,且在300毫米晶圓上之不均勻性為1.9%。
在另一例示性實施例中,將參照形成TiAlCN之另一製程。此製程包括在此過渡金屬子循環中使用一鈦前驅物及一氮反應物,及在此13族元素子循環中使用一鋁前驅物及一碳反應物。尤其,三甲基鋁係用作一鋁前驅物,TiCl 4係用作一鈦前驅物,NH 3係用作一氮反應物,且1,4-環己二烯係用作一碳反應物。使用僅包括一個超循環之方法:首先,沉積約5奈米之TiN,且隨後使用200個13族元素子循環。
因此,可形成包含AlC及TiN之材料,其可助於獲得具有一中間能隙功函數的一閘極電極,其用於一金屬氧化物半導體場效電晶體(MOSFET)。一例示性TiAlCN材料可使用三個超循環來形成,其中一單一超循環包括執行50個後續的TiN子循環及20個後續的AlC子循環。一TiN子循環包括將此基板暴露於此鈦前驅物及一氮反應物。一AlC子循環包括將此基板暴露於一鋁前驅物及一碳反應物。使用三甲基鋁、TiCl4、1,4-環己二烯及NH3所形成之一層在利用4點探針測量來量測時可具有每平方687歐姆之片電阻,且在300毫米晶圓上之不均勻性為2.4%。在此13族元素子循環期間,三甲基鋁脈衝持續80毫秒,且1,4-環己二烯脈衝持續75毫秒。此三甲基鋁脈衝及1,4-環己二烯脈衝係被持續1000毫秒的吹掃分開。後續的13族元素子循環係被持續6000毫秒的13族元素子循環間吹掃分開。因此,可形成一含鈦、鋁、碳及氮的層。此含鈦、鋁、碳及氮層可在退火時,例如,在自身沉積期間或在另一製程步驟期間,被整併至一單一均質的TiAlCN層中。針對300°C之沉積溫度,剛沉積的此含鈦、鋁、碳及氮層具有:一片電阻為每平方433歐姆且不均勻性為2.4%,其係在300毫米晶圓上利用4點探針測量來量測;針對350°C之沉積溫度,一片電阻為每平方415歐姆且不均勻性4.8%,其係在300毫米晶圓上利用4點探針測量來量測;針對400°C之沉積溫度,一片電阻為每平方397歐姆且不均勻性為2.5%,其係在300毫米晶圓上利用4點探針測量來量測;及針對450°C之沉積溫度,一片電阻為每平方368歐姆且不均勻性為1.2%,其係在300毫米晶圓上利用4點探針測量來量測。所有雙層具有比一5奈米TiN參考層還低之片電阻,TiN參考層在300毫米晶圓上利用4點探針測量來量測時之片電阻為每平方歐姆485歐姆,且不均勻性為1.7%。經發現所有樣品的片電阻在空氣中穩定至少24小時。
第9圖顯示包括一電極之一金屬氧化物半導體電容(MOSCAP)結構上的電容-電壓(CV)量測,此電極依序包括一2奈米厚的TiAlCN層,其鄰近於此氧化物且由一TiN層覆蓋。這些量測被拿來與一金屬氧化物半導體電容結構上之類似量測比較,此金屬氧化物半導體電容結構包括作為電極之一TiN塊層。相較於參考物,此CV測量顯示一明顯閾值電壓偏移。包含有2奈米TiAlCN之電極具有約4.6電子伏特之有效功函數。有利地,與參考物相比,發現此等效氧化層厚度(equivalent oxide thickness,EOT)沒有變化。換言之,並未發生等效氧化層厚度降解,儘管達到中間能隙功函數。
在一例示性實施例中,將參照形成TiAlC之製程,亦即形成含有Ti、Al、及C之材料。此製程包括在此過渡金屬子循環中使用一鈦前驅物及一氮反應物,及在此13族元素子循環中使用一鋁前驅物及一碳反應物。尤其,雙(第三丁基)甲基鋁係用作為一鋁前驅物,TiCl 4係用作一鈦前驅物,NH 3係用作一氮反應物,且1,4-環己二烯係用作一碳反應物。因此,可形成含有Al、C、Ti及N兩者之材料,其可助於獲得具有一中間能隙功函數的一閘極電極,其用於一金屬氧化物半導體場效電晶體(MOSFET)。例如,一例示性TiAlC材料可藉由將一基板暴露於複數個鈦前驅物脈衝,例如,10個鈦前驅物脈衝而形成。此些鈦前驅物脈衝可藉由吹掃來分開。在一些例示性實施例中,這類鈦前驅物脈衝持續2秒,且它們被持續1.5秒之吹掃分開。隨後,此基板可被交替地暴露於一包含鋁及碳的鋁前驅物及一鈦前驅物。合適的鋁前驅物包括一異配烷基鋁,例如:雙(第三丁基)甲基鋁,且合適的鈦前驅物包括一鈦鹵化物,例如:TiCl 4。此鋁前驅物脈衝可持續(例如)6秒。此鈦前驅物脈衝可持續(例如)2秒。適當地,此鋁前驅物脈衝與此鈦前驅物脈衝可藉由持續4秒的一吹掃所分開。可選地,後續的超循環可藉由持續,例如,2秒之超循環間吹掃來分開。此TiAlC材料可沉積於一噴淋頭式的反應室中。合適的反應條件為:反應室壓力:3托;超循環之數目:60;鋁前驅物及鈦前驅物源溫度:室溫,亦即約25°C;基座溫度:400°C;噴淋頭溫度:110°C。由此一材料所組成的一14.3奈米厚層在被沉積在一300毫米晶圓上,且利用4點探針測量來量測時,可具有每平方655歐姆之片電阻,且不均勻性為0.9%。可得到每循環0.25奈米的生長速率。X射線光電譜儀(X-ray photoelectron Spectroscopy,XPS)量測顯示依此沉積的薄膜具有均勻的組成。尤其,經發現此組成物為:11.2原子百分比的鋁、34.5原子百分比的碳、14.6原子百分比的氧、36.4原子百分比的鈦、及3.3原子百分比的Cl。在 X射線光電譜儀測量期間,此氧氣訊號的起源不明。此氧可能來自底層的熱氧化物,或者此膜可能在沉積與分析之間的環境暴露期間已被氧化。此 X射線光電譜儀氧訊號也可能是源自於濺鍍導致的背景O 2訊號。額外或替代地,O 2可在濺鍍期間自此膜被移除,但隨後會再與Al或Ti反應。
第10圖顯示此電阻率是此一材料組成的層之厚度的函數。此材料具有比TiN更低的電阻率,且展現出色的電阻率可擴展性,亦即其電阻率即使在此層的厚度減小時仍保持相對地低。有利地,經發現此層的電阻率對於廣範圍的厚度及沉積溫度是穩定的。此外,定期的片電阻量測顯示片電阻對於2至8奈米厚膜持續穩定3天。此TiAlC材料是用於製造金屬氧化物半導體電容S,其電極具有以下的多層堆疊:1.5奈米的TiN層/TiAlC/10奈米TiN電極。當此TiAlC已被暴露於大氣之後而形成此TiN電極時,金屬氧化物半導體電容結果顯示一2奈米厚的TiAlC層具有4.42電子伏特的有效功函數。當此TiN電極原位形成時,亦即不先允許TiAlC接觸大氣時,則一2奈米厚的TiAlC層獲得4.34電子伏特之有效功函數。不受限於任何理論或特定操作模式,相信此TiAlC層可經由併入金屬鋁來提供此一低功函數。因此,此一層可合適地被用在一 N型金氧半導體場效電晶體之一閘極電極中。
在另一例示性實施例中,將參考一種形成含有鈦、鋁、碳、及氮之材料(即TiAlCN)之製程。此製程包括在此過渡金屬子循環中使用一鈦前驅物及一氮反應物,且在此13族元素子循環中使用含有碳及鋁的一鋁前驅物。尤其,三甲基鋁係用作一鋁前驅物,TiCl 4係用作一鈦前驅物,且NH 3係用作一氮反應物。因此,可形成含有Ti、Al、C、及N之材料,其可助於獲得具有一中間能隙功函數的一閘極電極,其用於一金屬氧化物半導體場效電晶體(MOSFET)。例示性TiAlC材料可,例如,使用三個超循環來形成,其中一單一超循環包括執行50個後續的TiN子循環及20個後續的鋁前驅物脈衝。一TiN子循環包括將此基板暴露於此鈦前驅物及一氮反應物。一鋁前驅物脈衝包括將此基板暴露於三甲基鋁。使用三甲基鋁、TiCl 4及NH 3所形成之層在利用4點探針測量來量測時可具有每平方610歐姆之片電阻,且在300毫米晶圓上之不均勻性為1.9%。
在另一例示性實施例中,使用85個TiN子循環來生長TiN層,此些子循環包括將此基板交替地暴露於TiCl 4及NH 3。接著,在後續的400次脈衝中,將此TiN層暴露於三甲基鋁。此未經處理之TiN層在利用4點探針測量來量測時具有每平方10764歐姆之片電阻,且在300毫米晶圓上之不均勻性為6.4%。在暴露於三甲基鋁之後,此層的片電阻在利用4點探針測量來量測時降低至每平方2980歐姆,且在300毫米晶圓上不均勻性為4.3%。經發現此片電阻穩定至少24小時。在TiN沉積與三甲基鋁暴露之間的介入性空氣斷電並未對所獲得的最終片電阻造成顯著的影響。在一金屬氧化物半導體電容上的電容電壓量測(CV measurements)顯示此電極具有4.7電子伏特的有效功函數,其電極包括2奈米的三甲基鋁暴露之TiN層。此有效工函數可藉由改變此些沉積參數而輕易地從4.83控制到4.60電子伏特。
本文所述之電容電壓量測中所使用的金屬氧化物半導體電容具有根據第11圖之結構。尤其,此金屬氧化物半導體電容包括一矽基板(1102),其與一鉑電極(1101)接觸。覆蓋在矽基板(1102)上的是一氧化矽層(1103)。覆蓋在此氧化矽層(1103)上的是一氧化鉿層(1104)。覆蓋在此氧化鉿層(1104)上的是一導電底襯(1105)。覆蓋在此導電底襯(1105)上方的是利用本文所述之方法所形成之一層(1106)。覆蓋在利用本文所述之方法所形成的此層(1106)上方的是一導電材料(1107)。覆蓋在此導電材料(1107)上的是一鉑電極(1108)。
前述本揭露的例示性實施例並未限制本揭露的範疇,因為這些實施例僅是本揭露之多個實施例的示例,其係額外申請專利範圍及其法律上的均等物所限定。任何等同實施例皆意欲在本揭露之範疇內。實際上,除了本文所示與描述之外,熟習本領域的技藝人士可從描述中變得明白本揭露的各種修改,例如此元件的替代性有用組合。這類修改及實施例亦意欲屬於隨附之申請專利範圍的範疇內。
100:方法 111,112,115,116,117,118,119,120:步驟 200:方法 210,220,230,240,250,260,270:步驟 300:裝置 302:基板 304:介面層 305:介電或絕緣材料 306:高介電常數材料 308:層 310:導電層 400:結構 402:半導體材料 404:介電材料 406:層 408:導電層 500:系統 502:反應室 504:過渡金屬前驅物氣體源 505:氮反應物氣體源 506:13族元素前驅物氣體源 508:碳反應物氣體源 510:排氣裝置 512:控制器 514:管線 515:管線 516:管線 518:管線 600:動態隨機存取記憶體電容 610:頂電極 620:介電層 630:介電層 640:底電極 650:介電層 660:介電層 670:頂電極 700:接點暨電荷捕捉組件 710:金屬層 720:襯墊層 730:介電層 740:電荷捕捉層 750:介電層 800:裝置 802:基板 804:介電材料 806:層 812:導電層 814:源極區 816:汲極區 818:通道區 1101:鉑電極 1102:矽基板 1103:氧化矽層 1104:氧化鉿層 1105:導電底襯 1106:層 1107:導電材料 1108:鉑電極
本揭露之實施例可藉由參考實施方式及申請專利範圍並參照以下的示意性圖式而得到更完整的瞭解。 第1圖係繪示本文所揭示之方法的一實施例。 第2圖係繪示本文所揭示之方法的一實施例。 第3圖係根據本揭露之額外實例繪示一裝置300之結構/部分。 第4圖係根據本揭露之實例繪示另一結構400。 第5圖係根據本揭露之又一額外例示性實施例繪示一系統500。 第6圖係繪示一例示性動態隨機存取記憶體電容(600)。 第7圖係繪示VNAND單元的一部分,亦即一接點暨電荷捕捉組件(700)。 第8圖係根據本揭露之實例繪示另一例示性結構800。 第9圖係顯示具有一係根據本文所述之方法所形成的材料之結構上所獲得的測量結果。 第10圖係顯示具有一係根據本文所述之方法所形成的材料之結構上所獲得的測量結果。 第11圖係根據本揭露之實例繪示另一例示性結構。
可理解的是,圖式中之元件係為了簡明及清楚起見而繪示,且不必然按比例繪製。例如,圖式中之某些元件的尺寸可能相對於其他元件特別誇大,以幫助改善對本揭露所繪實施例的理解。
100:方法
111,112,115,116,117,118,119,120:步驟

Claims (20)

  1. 一種形成材料於基板上之方法,該方法包括: 提供一基板至一反應室; 執行一或多個超循環,超循環包括: 一或多個過渡金屬子循環;以及 一13族元素子循環; 該過渡金屬子循環包括: 一過渡金屬前驅物脈衝,包括將該基板暴露於一過渡金屬前驅物;並且 該13族元素子循環包括: 一13族元素前驅物脈衝,包括使該基板暴露於一13族元素前驅物。
  2. 如請求項1之方法,其中該一或多個超循環之至少一者包括複數個過渡金屬子循環。
  3. 如請求項1或2之方法,其中該過渡金屬子循環更包括一氮反應物脈衝,該氮反應物脈衝包括使該基板暴露於一氮反應物。
  4. 如請求項1至3中任一項之方法,其中該13族元素子循環更包括一碳反應物脈衝,該碳反應物脈衝包括將該基板暴露於一碳反應物。
  5. 如請求項1至5中任一項之方法,其中一超循環包括複數個13族元素子循環。
  6. 如請求項1至5中任一項之方法,其中該過渡金屬前驅物包括一過渡金屬鹵化物。
  7. 如請求項6之方法,其中該過渡金屬鹵化物包括TiCl 4
  8. 如請求項3至7中任一項之方法,其中該氮反應物包括氮及氫。
  9. 如請求項8之方法,其中該氮反應物包括NH 3
  10. 如請求項1至9中任一項之方法,其中該13族元素前驅物包括一烷基鋁。
  11. 如請求項10之方法,其中該烷基鋁包括三甲基鋁。
  12. 如請求項10或11之方法,其中該13族元素前驅物包括鋁。
  13. 如請求項10之方法,其中該13族元素前驅物具有一通式MR 1 2R 2,其中M為一13族元素,其中R 1為一第一烷基,其中R 2為一第二烷基,且其中R 1及R 2不同。
  14. 如請求項13之方法,其中R 1為第三丁基,且其中R 2為甲基。
  15. 如請求項4至14中任一項之方法,其中該碳反應物包括碳、氫、及至少兩個不飽和碳-碳鍵結。
  16. 如請求項15之方法,其中該碳反應物包括環己二烯。
  17. 如請求項3至15中任一項之方法,其中該過渡金屬前驅物脈衝藉由一過渡金屬子循環內吹掃而與該氮反應物脈衝分開。
  18. 如請求項1至17中任一項之方法,其中該13族元素子循環之前有一13族元素子循環預吹掃。
  19. 如請求項4至18中任一項之方法,其中該13族元素前驅物脈衝藉由一13族元素子循環間吹掃而與該碳反應物脈衝分開。
  20. 如請求項1至19中任一項之方法,其中該基板包括一單晶矽晶圓。
TW111125840A 2021-07-15 2022-07-11 形成含有過渡金屬及13族元素之層的方法 TW202311551A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163222121P 2021-07-15 2021-07-15
US63/222,121 2021-07-15

Publications (1)

Publication Number Publication Date
TW202311551A true TW202311551A (zh) 2023-03-16

Family

ID=84856957

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111125840A TW202311551A (zh) 2021-07-15 2022-07-11 形成含有過渡金屬及13族元素之層的方法

Country Status (4)

Country Link
US (1) US20230015690A1 (zh)
KR (1) KR20230012422A (zh)
CN (1) CN115613006A (zh)
TW (1) TW202311551A (zh)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料

Also Published As

Publication number Publication date
CN115613006A (zh) 2023-01-17
KR20230012422A (ko) 2023-01-26
US20230015690A1 (en) 2023-01-19

Similar Documents

Publication Publication Date Title
US11521851B2 (en) Method of forming structures including a vanadium or indium layer
US20210327715A1 (en) Method of forming chromium nitride layer and structure including the chromium nitride layer
US20210180184A1 (en) Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US9502256B2 (en) ZrAION films
US7601649B2 (en) Zirconium-doped tantalum oxide films
US8269254B2 (en) Silicon on germanium
US7875912B2 (en) Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
TW202230612A (zh) 用於沉積臨界電壓漂移層的方法、及半導體系統
TW202311551A (zh) 形成含有過渡金屬及13族元素之層的方法
US20220285146A1 (en) Methods and systems for forming a layer comprising vanadium and nitrogen
US11887857B2 (en) Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) Method of forming vanadium nitride-containing layer
US20220285147A1 (en) Methods and systems for forming a layer comprising aluminum, titanium, and carbon
US20230215728A1 (en) Methods for forming a semiconductor structure including a dipole layer
TW202407137A (zh) 用於在基材上形成包含13族元素的層之方法及系統