WO2023058582A1 - Procédé de gravure et dispositif de gravure - Google Patents

Procédé de gravure et dispositif de gravure Download PDF

Info

Publication number
WO2023058582A1
WO2023058582A1 PCT/JP2022/036800 JP2022036800W WO2023058582A1 WO 2023058582 A1 WO2023058582 A1 WO 2023058582A1 JP 2022036800 W JP2022036800 W JP 2022036800W WO 2023058582 A1 WO2023058582 A1 WO 2023058582A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
film
etching
hydrogen
plasma
Prior art date
Application number
PCT/JP2022/036800
Other languages
English (en)
Japanese (ja)
Inventor
裕介 福永
拓 後平
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2023058582A1 publication Critical patent/WO2023058582A1/fr

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • An exemplary embodiment of the present disclosure relates to an etching method and an etching apparatus.
  • Patent Document 1 discloses a method of plasma etching a silicon oxide film using hydrogen fluoride.
  • the present disclosure provides an etching method and etching apparatus capable of improving the etching rate.
  • a method for etching a film to be etched includes the steps of: (a) forming a first layer containing nitrogen atoms and hydrogen atoms on a surface of the film to be etched using a first process gas; (b) reforming the first layer into a second layer using a second process gas comprising halogen atoms and hydrogen atoms; and (c) using a plasma generated from a third process gas, Etching the etching target film having the second layer formed on the surface thereof.
  • an etching method and etching apparatus capable of improving the etching rate can be provided.
  • FIG. 1 is a schematic diagram of an etching apparatus according to one exemplary embodiment.
  • FIG. 2 is a schematic diagram of an etching apparatus according to one exemplary embodiment.
  • FIG. 3 is a flowchart of an etching method according to one exemplary embodiment.
  • FIG. 4 is a partially enlarged cross-sectional view of an example substrate.
  • FIG. 5 is a cross-sectional view showing one step of an etching method according to one exemplary embodiment.
  • FIG. 6 is a cross-sectional view showing one step of an etching method according to one exemplary embodiment.
  • FIG. 7 is a cross-sectional view showing one step of an etching method according to one exemplary embodiment.
  • FIG. 1 is a schematic diagram of an etching apparatus according to one exemplary embodiment.
  • FIG. 2 is a schematic diagram of an etching apparatus according to one exemplary embodiment.
  • FIG. 3 is a flowchart of an etching method according to one exemplary embodiment.
  • FIG. 4 is a
  • FIG. 8 is a partially enlarged cross-sectional view of an example substrate obtained by performing an etching method according to one exemplary embodiment.
  • FIG. 9 is a graph showing the etching rate when the etching method was executed in the first to fifth experiments.
  • FIG. 10 is a graph showing the etching rate when the etching method was executed in the third experiment and the sixth to eighth experiments.
  • FIG. 11 is a graph showing the etching rate when the etching method was executed in the 3rd experiment, the 5th experiment, and the 9th to 14th experiments.
  • FIG. 12 is a graph showing the etching amount when the etching method was performed in the 15th to 19th experiments.
  • a method for etching a film to be etched comprises: (a) forming a first layer containing nitrogen atoms and hydrogen atoms on the surface of the film to be etched using a first process gas; (b) reforming the first layer into a second layer using a second process gas containing halogen atoms and hydrogen atoms; and (c) generating a plasma generated from a third process gas. Etching the etching target film having the second layer formed on the surface thereof, using a etchant.
  • plasma energy promotes the reaction between the halogen atoms contained in the second layer and the film to be etched.
  • the etching rate of the etching target film can be improved.
  • the film to be etched may include a film containing nitrogen atoms, and the first processing gas may include hydrogen atoms.
  • the etching target film may include a film containing no nitrogen atoms, and the first process gas may include hydrogen atoms and nitrogen atoms.
  • the film to be etched may include a film containing hydrogen atoms or hydrogen-containing gas, and the first process gas may contain nitrogen atoms.
  • the first processing gas may include hydrogen gas and nitrogen gas.
  • a first layer is formed which contains, for example, ammonia or a compound having an amino group.
  • the second process gas may include at least one of hydrogen halide and alkyl halide.
  • the second process gas may contain hydrogen fluoride gas.
  • the second layer is formed by combining hydrogen fluoride with the first layer.
  • the second process gas may include a hydrogen-containing gas and a halogen-containing gas.
  • the film to be etched is irradiated with ions in the plasma to irradiate the surface of the film to be etched. may be etched.
  • the energy of the ion irradiation further promotes the reaction between the halogen atoms contained in the second layer and the film to be etched.
  • said (b) may be performed after said (a) and said (c) may be performed after said (b). good.
  • the second process gas may be used without generating plasma.
  • (b) may use plasma generated from the second process gas.
  • the etching method repeats (a), (b), and (c) after (c). Further steps may be included.
  • the film to be etched may include a silicon-containing film.
  • the temperature of the substrate including the film to be etched is 70. °C or lower.
  • the method for etching a silicon oxide film includes etching the silicon oxide film using plasma generated from a process gas containing hydrogen gas, nitrogen gas, and hydrogen fluoride gas. including.
  • the etching rate of the silicon oxide film can be improved.
  • the mechanism by which the etching rate is improved is thought to be as follows, but is not limited to this.
  • a first layer containing nitrogen atoms and hydrogen atoms is formed on the surface of the silicon oxide film by hydrogen gas and nitrogen gas.
  • the bonding of hydrogen fluoride to the first layer reforms the first layer into the second layer.
  • the plasma energy accelerates the reaction between the fluorine atoms contained in the second layer and the silicon oxide film. As a result, the etching rate of the silicon oxide film can be improved.
  • the temperature of the substrate including the silicon oxide film may be set to 70° C. or less in the step of etching.
  • the ratio of the flow rate of the hydrogen fluoride gas to the total flow rate of the processing gas may be 50% by volume or more and 90% by volume or less. In this case, the etching rate of the silicon oxide film can be further improved.
  • the ratio of the flow rate of the hydrogen gas to the total flow rate of the hydrogen gas and the nitrogen gas may be 75% by volume or less.
  • the etching rate of the silicon oxide film can be further improved.
  • the etching apparatus includes a chamber and a substrate support for supporting a substrate in the chamber, the substrate including a film to be etched; a gas supply configured to supply each of a first process gas, a second process gas and a third process gas into the chamber, the second process gas comprising halogen atoms and hydrogen atoms. a plasma generation unit configured to generate plasma from the third processing gas; and a control unit, wherein the control unit (a) applies the first processing gas to the surface of the etching target film.
  • the gas supply unit and the plasma generation unit are controlled to etch the etching target film having the second layer formed on the surface using plasma generated from a gas.
  • the plasma energy promotes the reaction between the halogen atoms contained in the second layer and the film to be etched.
  • the etching rate of the etching target film can be improved.
  • FIG. 1 is a diagram for explaining a configuration example of a plasma processing system.
  • a plasma processing system includes a plasma processing apparatus 1 and a controller 2 .
  • the plasma processing system is an example of a substrate processing system
  • the plasma processing apparatus 1 is an example of a substrate processing apparatus or an etching apparatus.
  • the plasma processing apparatus 1 includes a plasma processing chamber 10 , a substrate support section 11 and a plasma generation section 12 .
  • Plasma processing chamber 10 has a plasma processing space.
  • the plasma processing chamber 10 also has at least one gas inlet for supplying at least one process gas to the plasma processing space and at least one gas outlet for exhausting gas from the plasma processing space.
  • the gas supply port is connected to a gas supply section 20, which will be described later, and the gas discharge port is connected to an exhaust system 40, which will be described later.
  • the substrate support 11 is arranged in the plasma processing space and has a substrate support surface for supporting the substrate.
  • the plasma generation unit 12 is configured to generate plasma from at least one processing gas supplied into the plasma processing space.
  • Plasma formed in the plasma processing space includes capacitively coupled plasma (CCP), inductively coupled plasma (ICP), ECR plasma (Electron-Cyclotron-resonance Plasma), helicon wave excited plasma (HWP: Helicon Wave Plasma), surface wave plasma (SWP: Surface Wave Plasma), or the like.
  • various types of plasma generators may be used, including alternating current (AC) plasma generators and direct current (DC) plasma generators.
  • the AC signal (AC power) used in the AC plasma generator has a frequency within the range of 100 kHz to 10 GHz.
  • AC signals include RF (Radio Frequency) signals and microwave signals.
  • the RF signal has a frequency within the range of 100 kHz-150 MHz.
  • the controller 2 processes computer-executable instructions that cause the plasma processing apparatus 1 to perform the various steps described in this disclosure. Controller 2 may be configured to control elements of plasma processing apparatus 1 to perform the various processes described herein. In one embodiment, part or all of the controller 2 may be included in the plasma processing apparatus 1 .
  • the control unit 2 may include a processing unit 2a1, a storage unit 2a2, and a communication interface 2a3.
  • the control unit 2 is implemented by, for example, a computer 2a.
  • Processing unit 2a1 can be configured to perform various control operations by reading a program from storage unit 2a2 and executing the read program. This program may be stored in the storage unit 2a2 in advance, or may be acquired via a medium when necessary.
  • the acquired program is stored in the storage unit 2a2, read from the storage unit 2a2 and executed by the processing unit 2a1.
  • the medium may be various storage media readable by the computer 2a, or may be a communication line connected to the communication interface 2a3.
  • the processing unit 2a1 may be a CPU (Central Processing Unit).
  • the storage unit 2a2 may include RAM (Random Access Memory), ROM (Read Only Memory), HDD (Hard Disk Drive), SSD (Solid State Drive), or a combination thereof.
  • the communication interface 2a3 may communicate with the plasma processing apparatus 1 via a communication line such as a LAN (Local Area Network).
  • FIG. 2 is a diagram for explaining a configuration example of a capacitively coupled plasma processing apparatus.
  • the capacitively coupled plasma processing apparatus 1 includes a plasma processing chamber 10, a gas supply section 20, a power supply 30 and an exhaust system 40. Further, the plasma processing apparatus 1 includes a substrate support section 11 and a gas introduction section. The gas introduction is configured to introduce at least one process gas into the plasma processing chamber 10 .
  • the gas introduction section includes a showerhead 13 .
  • a substrate support 11 is positioned within the plasma processing chamber 10 .
  • the showerhead 13 is arranged above the substrate support 11 . In one embodiment, showerhead 13 forms at least a portion of the ceiling of plasma processing chamber 10 .
  • the plasma processing chamber 10 has a plasma processing space 10 s defined by a showerhead 13 , side walls 10 a of the plasma processing chamber 10 and a substrate support 11 . Plasma processing chamber 10 is grounded.
  • the showerhead 13 and substrate support 11 are electrically insulated from the housing of the plasma processing chamber 10 .
  • the substrate support section 11 includes a body section 111 and a ring assembly 112 .
  • the body portion 111 has a central region 111 a for supporting the substrate W and an annular region 111 b for supporting the ring assembly 112 .
  • a wafer is an example of a substrate W;
  • the annular region 111b of the body portion 111 surrounds the central region 111a of the body portion 111 in plan view.
  • the substrate W is arranged on the central region 111 a of the main body 111
  • the ring assembly 112 is arranged on the annular region 111 b of the main body 111 so as to surround the substrate W on the central region 111 a of the main body 111 .
  • the central region 111a is also referred to as a substrate support surface for supporting the substrate W
  • the annular region 111b is also referred to as a ring support surface for supporting the ring assembly 112.
  • the body portion 111 includes a base 1110 and an electrostatic chuck 1111 .
  • Base 1110 includes a conductive member.
  • a conductive member of the base 1110 can function as a bottom electrode.
  • An electrostatic chuck 1111 is arranged on the base 1110 .
  • the electrostatic chuck 1111 includes a ceramic member 1111a and an electrostatic electrode 1111b disposed within the ceramic member 1111a.
  • Ceramic member 1111a has a central region 111a. In one embodiment, the ceramic member 1111a also has an annular region 111b. Note that another member surrounding the electrostatic chuck 1111, such as an annular electrostatic chuck or an annular insulating member, may have the annular region 111b.
  • the ring assembly 112 may be placed on the annular electrostatic chuck or the annular insulating member, or may be placed on both the electrostatic chuck 1111 and the annular insulating member.
  • at least one RF/DC electrode coupled to an RF power source 31 and/or a DC power source 32, described below, may be disposed within the ceramic member 1111a.
  • at least one RF/DC electrode functions as the bottom electrode. If a bias RF signal and/or a DC signal, described below, is applied to at least one RF/DC electrode, the RF/DC electrode is also called a bias electrode.
  • the conductive member of the base 1110 and at least one RF/DC electrode may function as a plurality of lower electrodes.
  • the electrostatic electrode 1111b may function as a lower electrode. Accordingly, the substrate support 11 includes at least one bottom electrode.
  • Ring assembly 112 includes one or more annular members.
  • the one or more annular members include one or more edge rings and at least one cover ring.
  • the edge ring is made of a conductive material or an insulating material
  • the cover ring is made of an insulating material.
  • the substrate supporter 11 may include a temperature control module configured to adjust at least one of the electrostatic chuck 1111, the ring assembly 112, and the substrate to a target temperature.
  • the temperature control module may include heaters, heat transfer media, channels 1110a, or combinations thereof.
  • channels 1110 a are formed in base 1110 and one or more heaters are positioned in ceramic member 1111 a of electrostatic chuck 1111 .
  • the substrate support 11 may also include a heat transfer gas supply configured to supply a heat transfer gas to the gap between the back surface of the substrate W and the central region 111a.
  • the showerhead 13 is configured to introduce at least one processing gas from the gas supply unit 20 into the plasma processing space 10s.
  • the showerhead 13 has at least one gas supply port 13a, at least one gas diffusion chamber 13b, and multiple gas introduction ports 13c.
  • the processing gas supplied to the gas supply port 13a passes through the gas diffusion chamber 13b and is introduced into the plasma processing space 10s through a plurality of gas introduction ports 13c.
  • showerhead 13 also includes at least one upper electrode.
  • the gas introduction part may include one or more side gas injectors (SGI: Side Gas Injector) attached to one or more openings formed in the side wall 10a.
  • SGI Side Gas Injector
  • the gas supply unit 20 may include at least one gas source 21 and at least one flow controller 22 .
  • gas supply 20 is configured to supply at least one process gas from respective gas sources 21 through respective flow controllers 22 to showerhead 13 .
  • Each flow controller 22 may include, for example, a mass flow controller or a pressure controlled flow controller.
  • gas supply 20 may include at least one flow modulation device for modulating or pulsing the flow rate of at least one process gas.
  • Power supply 30 includes an RF power supply 31 coupled to plasma processing chamber 10 via at least one impedance matching circuit.
  • RF power supply 31 is configured to supply at least one RF signal (RF power) to at least one lower electrode and/or at least one upper electrode.
  • RF power supply 31 can function as at least part of the plasma generator 12 .
  • a bias RF signal to at least one lower electrode, a bias potential is generated in the substrate W, and ion components in the formed plasma can be drawn into the substrate W.
  • the RF power supply 31 includes a first RF generator 31a and a second RF generator 31b.
  • the first RF generator 31a is coupled to at least one lower electrode and/or at least one upper electrode via at least one impedance matching circuit to generate a source RF signal (source RF power) for plasma generation.
  • the source RF signal has a frequency within the range of 10 MHz to 150 MHz.
  • the first RF generator 31a may be configured to generate multiple source RF signals having different frequencies.
  • One or more source RF signals generated are provided to at least one bottom electrode and/or at least one top electrode.
  • the second RF generator 31b is coupled to at least one lower electrode via at least one impedance matching circuit and configured to generate a bias RF signal (bias RF power).
  • the frequency of the bias RF signal may be the same as or different from the frequency of the source RF signal.
  • the bias RF signal has a frequency lower than the frequency of the source RF signal.
  • the bias RF signal has a frequency within the range of 100 kHz to 60 MHz.
  • the second RF generator 31b may be configured to generate multiple bias RF signals having different frequencies.
  • One or more bias RF signals generated are provided to at least one bottom electrode. Also, in various embodiments, at least one of the source RF signal and the bias RF signal may be pulsed.
  • Power supply 30 may also include a DC power supply 32 coupled to plasma processing chamber 10 .
  • the DC power supply 32 includes a first DC generator 32a and a second DC generator 32b.
  • the first DC generator 32a is connected to the at least one bottom electrode and configured to generate a first DC signal.
  • the generated first DC signal is applied to at least one bottom electrode.
  • the second DC generator 32b is connected to the at least one top electrode and configured to generate a second DC signal.
  • the generated second DC signal is applied to at least one top electrode.
  • the first and second DC signals may be pulsed.
  • a sequence of voltage pulses is applied to at least one bottom electrode and/or at least one top electrode.
  • the voltage pulses may have rectangular, trapezoidal, triangular, or combinations thereof pulse waveforms.
  • a waveform generator for generating a sequence of voltage pulses from a DC signal is connected between the first DC generator 32a and the at least one bottom electrode. Therefore, the first DC generator 32a and the waveform generator constitute a voltage pulse generator.
  • the second DC generator 32b and the waveform generator constitute a voltage pulse generator, the voltage pulse generator is connected to at least one upper electrode.
  • the voltage pulse may have a positive polarity or a negative polarity.
  • the sequence of voltage pulses may include one or more positive voltage pulses and one or more negative voltage pulses in one cycle.
  • the first and second DC generators 32a and 32b may be provided in addition to the RF power supply 31, and the first DC generator 32a may be provided instead of the second RF generator 31b. good.
  • the exhaust system 40 may be connected to a gas exhaust port 10e provided at the bottom of the plasma processing chamber 10, for example.
  • Exhaust system 40 may include a pressure regulating valve and a vacuum pump.
  • the pressure regulating valve regulates the pressure in the plasma processing space 10s.
  • Vacuum pumps may include turbomolecular pumps, dry pumps, or combinations thereof.
  • FIG. 3 is a flowchart of an etching method according to one exemplary embodiment.
  • the etching method shown in FIG. 3 (hereinafter referred to as "method MT1") can be performed by the etching apparatus of the above embodiment.
  • Method MT1 may be applied to substrate W.
  • FIG. 4 is a partially enlarged cross-sectional view of an example substrate.
  • the substrate W may comprise a film to be etched RE and a mask MK.
  • a mask MK is provided on the etching target film RE.
  • the etching target film RE may include a recess R1.
  • the recess R1 has sidewalls R1s and a bottom R1b.
  • the recess R1 may be an opening.
  • the recess R1 is, for example, a hole or trench.
  • the recess R1 can be formed by plasma etching using the plasma processing apparatus 1, as in step ST3 described later.
  • the etching target film RE may include a plurality of recesses R1.
  • the etching target film RE may include a silicon-containing film.
  • Silicon-containing films include silicon oxide films ( SiO2 films), silicon nitride films (SiN films), silicon oxynitride films (SiON), silicon carbide films (SiC films), silicon carbonitride films (SiCN films), organic-containing silicon It may be a single layer film of either an oxide film (SiOCH film) or a silicon film (Si film), or a laminated film containing at least two of them.
  • the silicon-containing film may be a multilayer film in which at least two silicon-containing films are alternately arranged.
  • a silicon nitride film (SiN film), a silicon oxynitride film (SiON film), or a silicon carbonitride film (SiCN film) is a silicon-containing film containing nitrogen.
  • a silicon oxide film (SiO 2 film), a silicon carbide film (SiC film), an organic-containing silicon oxide film (SiOCH film), or a silicon film (Si film) is a silicon-containing film that does not contain nitrogen.
  • the silicon film (Si film) may be a single crystal silicon film, a polycrystalline silicon film (Poly-Si film), or an amorphous silicon film ( ⁇ -Si film).
  • the etching target film RE may include a germanium-containing film.
  • the germanium-containing film may be a single layer film of either a germanium film (Ge film) or a silicon germanium film (SiGe film).
  • the germanium-containing film may be a laminated film including a germanium film (Ge film) and a silicon germanium film (SiGe film).
  • the etching target film RE may include a metal-containing film.
  • the metal-containing film may contain, for example, at least one of tungsten (W), tungsten carbide (WC), aluminum (Al), titanium (Ti), titanium nitride (TiN), and ruthenium (Ru).
  • the metal-containing film may also contain metal oxides such as aluminum oxide (Al 2 O 3 ).
  • the mask MK has an opening OP.
  • a recess R1 is provided in the etching target film RE corresponding to the opening OP.
  • the width of the opening OP can be, for example, 100 nm or less.
  • a distance between adjacent openings OP may be, for example, 100 nm or less.
  • the mask MK may contain an organic film.
  • the organic film can include at least one of a spin-on carbon film and an amorphous carbon film.
  • the mask MK may include a silicon oxide film.
  • FIGS. 5-7 are cross-sectional views showing one step of an etching method according to one exemplary embodiment.
  • FIG. 8 is a partially enlarged cross-sectional view of an example substrate obtained by performing an etching method according to one exemplary embodiment.
  • the method MT1 can be executed in the plasma processing apparatus 1 by controlling each section of the plasma processing apparatus 1 by the control unit 2 .
  • Method MT1 processes a substrate W on a substrate support 11 positioned within a plasma processing chamber 10, as shown in FIG.
  • the substrate W may be etched by the method MT1.
  • the method MT1 includes steps ST1, ST2, ST3 and ST4. Steps ST1 to ST4 may be performed in order. Steps ST1 to ST3 may be performed simultaneously. Process ST3 may be performed after process ST1 and process ST2 are performed simultaneously. After step ST1 is performed, step ST2 and step ST3 may be performed at the same time. Step ST3 may be performed simultaneously with step ST1 after step ST3. Process ST4 may not be performed.
  • the substrate W can be processed in-situ, which is performed within the same plasma processing chamber 10 . This improves throughput.
  • the substrate W is not exposed to the atmosphere between each process, it is possible to perform stable processing without being affected by moisture or the like in the atmosphere.
  • the temperature of the substrate W may be 70° C. or less, 50° C. or less, or 20° C. or less, or may be ⁇ 10° C. or less.
  • the temperature of the substrate W can be adjusted by the temperature of the substrate support 11 for supporting the substrate W. FIG.
  • the temperature of the substrate W can be higher than the temperature of the substrate support 11, for example by plasma etching.
  • the temperature of the substrate supporting portion 11 may be set to 20° C. or lower, 0° C. or lower, or ⁇ 30° C. or lower, or may be set to ⁇ 60° C. or lower.
  • the temperature difference between the substrate W and the substrate support 11 in one exemplary embodiment is about 50°C.
  • the temperature of the substrate W is adjusted by transferring the temperature of the substrate supporting portion 11 adjusted to a predetermined temperature by brine to the substrate W via the surface of the substrate supporting portion 11 and the heat transfer gas.
  • the substrate W is exposed to plasma generated by the first high-frequency power for plasma excitation, and the substrate W is irradiated with light from the plasma and ions attracted by the second high-frequency power for bias. , particularly the surface temperature of the substrate W facing the plasma, will be higher than the temperature of the conditioned substrate support 11 .
  • the temperature of the substrate W may also rise due to radiant heat from the temperature-controlled counter electrode and chamber side walls. Therefore, a difference occurs between the temperature of the substrate supporting portion 11 and the temperature of the substrate W.
  • the temperature difference in one exemplary embodiment is about 50° C., but the temperature difference varies depending on the process conditions and the efficiency of the temperature regulation due to the substrate support 11 design. Also, if the actual temperature of the substrate W during the etching process can be measured, or if the temperature difference between the adjusted temperature of the substrate support 11 and the actual surface temperature of the substrate W can be estimated from the process conditions, In order to adjust the temperature of the substrate W within a predetermined temperature range, the setting value of the adjustment temperature of the substrate support part 11 may be increased or decreased.
  • the temperature difference between the adjustment temperature of the substrate supporting portion 11 and the actual surface temperature of the wafer is estimated to be small, such as when the outputs of the first high-frequency power and the second high-frequency power are small, the temperature of the substrate W
  • the temperature of the substrate supporting portion 11 may be regarded as equivalent.
  • the result of the etching method according to one exemplary embodiment is due to the reaction on the surface of the substrate W even if the temperature difference varies depending on the process conditions and the temperature control efficiency due to the design of the substrate support 11. Therefore, it is desirable to use the temperature of the substrate W as a reference.
  • the substrate W is connected to the same vacuum transfer system and executed in different plasma processing chambers 10 capable of transferring the substrate W in a vacuum state, which is a so-called in-system. can be processed with As a result, the substrate W is not exposed to the atmosphere between each process, so that it is possible to perform stable processing without being affected by moisture in the atmosphere.
  • the first layer F1 is formed on the surface of the etching target film RE using, for example, the first plasma P1.
  • the first layer F1 may be formed on the bottom R1b of the recess R1 of the substrate W. As shown in FIG.
  • the first layer F1 may not be formed on the sidewall R1s of the recess R1, or may be formed on the sidewall R1s of the recess R1.
  • a first plasma P1 is generated from a first process gas.
  • the substrate W may be exposed to the first plasma P1.
  • the first plasma P1 can form the first layer F1 on the bottom R1b of the recess R1 of the substrate W.
  • the first layer F1 may be formed on the surface of the etching target film RE using the first processing gas without generating plasma.
  • the substrate W may be exposed to the first processing gas without generating plasma.
  • the first processing gas may be supplied into the plasma processing chamber 10 from the gas supply section 20 of the plasma processing apparatus 1 .
  • the first plasma P ⁇ b>1 can be generated by the plasma generator 12 of the plasma processing apparatus 1 .
  • the first process gas may contain at least one of hydrogen atoms and nitrogen atoms.
  • the first process gas may include at least one of hydrogen-containing gas and nitrogen-containing gas.
  • the hydrogen-containing gas can include at least one of hydrogen ( H2 ) gas, water vapor ( H2O ), hydrocarbon ( CxHy ) gas, and hydrofluorocarbon gas.
  • Nitrogen-containing gas includes nitrogen (N 2 ) gas, diazene (N 2 H 2 ) gas, hydrazine (N 2 H 4 ) gas, ammonia (NH 3 ) gas, nitrogen oxide (NO x ) gas, and nitrogen trifluoride.
  • At least one of (NF 3 ) gas and gas containing an amino group (—NH 2 ) such as methylamine (CH 3 NH 2 ) gas and aniline (C 6 NH 7 ) gas may be included.
  • the first process gas may be free of hydrogen halide.
  • the first processing gas may contain hydrogen atoms.
  • the nitrogen atoms in the film and the hydrogen atoms in the first process gas are included in the first layer F1.
  • the etching target film RE includes a film that does not contain nitrogen atoms, such as a silicon oxide film, a germanium film (Ge film), or a tungsten film (W film)
  • the first processing gas may contain hydrogen atoms and nitrogen atoms. In this case, hydrogen atoms and nitrogen atoms in the first process gas are contained in the first layer F1.
  • the first processing gas may contain nitrogen atoms. good.
  • the hydrogen atoms or hydrogen-containing gas in the film and the nitrogen atoms in the first process gas are included in the first layer F1. Note that, regardless of the etching target film RE, the first process gas containing both hydrogen atoms and nitrogen atoms more effectively forms the first layer F1 on the surface of the etching target film RE. It is formed.
  • the first layer F1 contains nitrogen atoms and hydrogen atoms.
  • the first layer F1 may contain ammonia (NH 3 ) or a compound having an amino group (—NH 2 ).
  • the first layer F1 is formed as a result of interaction (eg, adsorption or chemical bonding) between the first plasma P1 and the film RE to be etched.
  • a bias power may be applied to the substrate supporting portion 11 for supporting the substrate W in step ST1.
  • Bias power may be applied by power supply 30 in FIG.
  • positive ions for example, N 2 +
  • the ions in the first plasma P1 can irradiate the bottom R1b of the recess R1.
  • the first layer F1 can be formed on the bottom R1b of the recess R1 of the substrate W.
  • the second plasma P2 is used to modify the first layer F1 into the second layer F2.
  • the second plasma P2 is generated from the second process gas.
  • the substrate W may be exposed to the second plasma P2.
  • the first layer F1 may be reformed into the second layer F2 using the second processing gas without generating plasma.
  • the substrate W may be exposed to the second processing gas without generating plasma.
  • the second process gas may be different from the first process gas or may be the same as the first process gas.
  • the second plasma P2 can reform the first layer F1 into the second layer F2.
  • the second processing gas may be supplied into the plasma processing chamber 10 from the gas supply section 20 of the plasma processing apparatus 1 .
  • the second plasma P2 can be generated by the plasma generator 12 of the plasma processing apparatus 1 .
  • the second process gas contains halogen atoms and hydrogen atoms.
  • the second process gas may contain a halogen-containing gas.
  • the halogen-containing gas may include polar halogen compounds.
  • the halogen compound may be a hydrogen halide (HX: X is any one of F, Cl, Br and I) or an alkyl halide (C n H 2n+1 X: X is F, Cl, Br and I. n is an integer equal to or greater than 1.).
  • Alkyl halides are, for example, CH 3 Br (bromomethane) or C 2 H 5 Cl (chloroethane).
  • the second process gas may contain hydrogen fluoride gas.
  • the second process gas may be a combination of a hydrogen-containing gas and a halogen-containing gas.
  • Hydrogen-containing gas includes hydrogen (H 2 ) gas, water vapor (H 2 O), hydrocarbon gas and alkyl halide (C n H 2n+1 X: X is any one of F, Cl, Br and I. n is an integer greater than or equal to 1.) gases.
  • Halogen-containing gases include fluorine (F 2 ) gas, chlorine (Cl 2 ) gas, bromine (Br 2 ) gas, chlorine trifluoride (ClF 3 ) gas, bromine pentafluoride (BrF 5 ) gas, and iodine heptafluoride.
  • ( IF7 ) gas nitrogen trifluoride ( NF3 ) gas, sulfur hexafluoride ( SF6 ) gas, phosphorus trifluoride ( PF3 ) gas, phosphorus pentafluoride ( PF5 ) gas, tungsten hexafluoride
  • WF 6 nitrogen trifluoride
  • NF3 sulfur hexafluoride
  • PF3 phosphorus trifluoride
  • PF5 phosphorus pentafluoride
  • PF5 tungsten hexafluoride
  • a hydrogen halide or an alkyl halide is produced by a plasma of a mixed gas of a hydrogen-containing gas and a halogen-containing gas.
  • hydrogen halides or alkyl halides are produced by gas phase reactions without plasma generation. For example, since water vapor (H 2 O) and chlorine trifluoride (ClF 3 ) gas are highly reactive, they tend to generate hydrogen fluoride (HF) without generating plasma.
  • the second layer F2 may contain nitrogen atoms, hydrogen atoms and halogen atoms.
  • the second layer F2 may contain hydrogen halide.
  • the second layer F2 is formed as a result of interaction (eg chemical bonding) between the second plasma P2 and the first layer F1.
  • the second layer F2 can be formed by bonding hydrogen atoms of hydrogen fluoride to nitrogen atoms of amino groups of the first layer F1.
  • a hydrogen atom of the hydrogen fluoride and a nitrogen atom of the amino group may be bonded by at least one of a coordinate bond and a hydrogen bond.
  • the plasma processing chamber 10 may be purged.
  • a purge gas may be supplied into the plasma processing chamber 10 from the gas supply 20 of the plasma processing apparatus 1 .
  • step ST3 the etching target film RE having the second layer F2 formed thereon is etched using the third plasma P3. Since the second layer F2 is formed on the bottom R1b of the recess R1, the bottom R1b of the recess R1 can be etched.
  • the substrate W may be exposed to the third plasma P3.
  • the third plasma P3 can etch the bottom R1b of the recess R1.
  • a third plasma P3 is generated from a third processing gas.
  • the third processing gas may be supplied into the plasma processing chamber 10 from the gas supply section 20 of the plasma processing apparatus 1 .
  • the third plasma P3 can be generated by the plasma generator 12 of the plasma processing apparatus 1 .
  • the third process gas may be different from the first process gas and the second process gas, or may be the same as the first process gas or the second process gas.
  • the third processing gas may contain noble gas.
  • Noble gases may include argon (Ar).
  • the etching target film RE may be etched by irradiating the surface of the etching target film RE with ions in the third plasma P3.
  • the third processing gas contains a noble gas
  • the surface of the etching target film RE is irradiated with positive ions of the noble gas.
  • a bias power may be applied to the substrate supporting portion 11 for supporting the substrate W in step ST3.
  • Bias power may be applied by power supply 30 in FIG.
  • the bias power increases the etching rate of the etching target film RE.
  • positive ions in the third plasma P3 are attracted to the surface of the etching target film RE.
  • the ions in the third plasma P3 can irradiate the bottom R1b of the recess R1.
  • step ST4 it may be determined whether the depth DP of the recess R1 has reached a threshold value.
  • the depth DP of the recess R1 can be monitored, for example, by an endpoint monitor or the like. The determination can be made by the controller 2 of the substrate processing apparatus. If the depth DP of the recess R1 has reached the threshold, the method MT1 is terminated. If the depth DP of the recess R1 has not reached the threshold value, the process returns to step ST1, and steps ST1 to ST4 are repeated. In step ST4, it may be determined whether the number of repetitions of steps ST1 to ST3 has reached a threshold.
  • the method MT1 may further include, after the step ST3, repeating the steps ST1, ST2, and ST3. Thereby, a deep recess R1 can be formed.
  • the depth DP of the recess R1 may be 3 ⁇ m or more, and the aspect ratio of the recess R1 (the depth DP to the width WD of the recess R1) may be 30 or more.
  • the ratio (TH/DP) of the thickness TH of the mask MK to the depth DP of the recess R1 may be 1/5 or more.
  • the etching target film RE is etched using plasma generated from process gases including the first process gas, the second process gas, and the third process gas.
  • the etching target film RE is etched using plasma generated from a processing gas containing hydrogen gas, nitrogen gas, and hydrogen fluoride gas.
  • the ratio of the hydrogen fluoride gas flow rate to the total flow rate of the processing gas may be 20% by volume or more or 50% by volume or more, or may be less than 100% by volume, 90% by volume or less, or 80% by volume or less. good.
  • the ratio of the flow rate of hydrogen gas to the total flow rate of hydrogen gas and nitrogen gas may be 75% by volume or less.
  • plasma energy promotes the reaction between the halogen atoms contained in the second layer F2 and the etching target film RE.
  • the etching rate of the etching target film RE can be improved.
  • the etching target film RE may be etched by irradiating the surface of the etching target film RE with ions in the third plasma P3.
  • the ion irradiation energy further promotes the reaction between the halogen atoms contained in the second layer F2 and the etching target film RE.
  • first experiment In the first experiment, a wafer with a silicon oxide film and a wafer with a photoresist were prepared. Thereafter, the above method MT1 was performed on each wafer using the above plasma processing system. Steps ST1 to ST3 were executed simultaneously. Specifically, etching was performed using plasma generated from a processing gas containing hydrogen gas (H 2 ), nitrogen gas (N 2 ), and hydrogen fluoride gas (HF).
  • the ratio of the flow rate of the hydrogen fluoride gas to the total flow rate of the processing gas was 20% by volume. That is, the ratio of the total flow rate of hydrogen gas and nitrogen gas to the total flow rate of processing gas was 80% by volume.
  • the ratio of the flow rate of hydrogen gas to the total flow rate of hydrogen gas and nitrogen gas was 50% by volume. That is, the ratio of the flow rate of nitrogen gas to the total flow rate of hydrogen gas and nitrogen gas was 50% by volume.
  • the temperature of the substrate supporting portion 11 was -60.degree. Also, the temperature of the substrate W was -10°C.
  • FIG. 9 is a graph showing the etching rate when the etching method was executed in the first to fifth experiments.
  • the horizontal axis of the graph indicates the ratio (volume %) of the flow rate of the hydrogen fluoride gas to the total flow rate of the processing gas.
  • the flow rates of the hydrogen fluoride gas in the first to fifth experiments were 20% by volume, 50% by volume, 75% by volume, 90% by volume and 100% by volume, respectively.
  • the vertical axis of the graph indicates the etching rate (nm/min).
  • E1 indicates the etching rate of the silicon oxide film
  • E2 indicates the etching rate of the photoresist.
  • the etching rate of the silicon oxide film is relatively high.
  • the etching selectivity ratios of the silicon oxide film to the photoresist were 1.56, 3.04, 4.30, 4.05 and 3.31, respectively.
  • FIG. 10 is a graph showing the etching rate when the etching method was executed in the third experiment and the sixth to eighth experiments.
  • the horizontal axis of the graph indicates the ratio of the flow rate of hydrogen gas to the total flow rate of hydrogen gas and nitrogen gas.
  • the flow rates of hydrogen gas in the sixth, third, seventh and eighth experiments are 25% by volume, 50% by volume, 75% by volume and 100% by volume, respectively.
  • the vertical axis of the graph indicates the etching rate (nm/min).
  • E3 indicates the etching rate of the silicon oxide film
  • E4 indicates the etching rate of the photoresist.
  • E5 and E6 indicate the etching rate of the silicon oxide film and the etching rate of the photoresist, respectively, in the fifth experiment.
  • the etching rate of the silicon oxide film is relatively high.
  • the etching selectivity ratios of the silicon oxide film to the photoresist were 3.69, 4.30, 5.05, 4.40 and 4.40, respectively. was 3.31.
  • FIG. 11 is a graph showing the etching rate when the etching method was executed in the 3rd experiment, the 5th experiment, and the 9th to 14th experiments.
  • the horizontal axis of the graph indicates the temperature of the substrate W (° C.).
  • the temperature of the substrate W in the third and fifth experiments is -10.degree.
  • the temperature of the substrate W in the ninth and twelfth experiments is 20.degree.
  • the temperature of the substrate W in the tenth and thirteenth experiments is 50.degree.
  • the temperature of the substrate W in the 11th experiment and the 14th experiment is 70.degree.
  • the vertical axis of the graph indicates the etching rate (nm/min).
  • E7 and E8 indicate the etching rate of the silicon oxide film and the etching rate of the photoresist in the third experiment and the ninth to eleventh experiments, respectively.
  • E9 and E10 indicate the etching rate of the silicon oxide film and the etching rate of the photoresist in the fifth and twelfth to fourteenth experiments, respectively.
  • the etching rate of the silicon oxide film relatively increases as the temperature of the substrate supporting portion 11 decreases. Also, from E7 and E9 in the graph, it can be seen that the etching rate of the silicon oxide film increases by adding hydrogen gas and nitrogen gas to hydrogen fluoride gas.
  • step ST1 the wafer was exposed to first plasma P1 generated from a first processing gas containing hydrogen gas ( H2 ) and nitrogen gas ( N2 ).
  • H2 hydrogen gas
  • N2 nitrogen gas
  • the ratio of the flow rate of hydrogen gas to the total flow rate of hydrogen gas and nitrogen gas was 50% by volume. That is, the ratio of the flow rate of nitrogen gas to the total flow rate of hydrogen gas and nitrogen gas was 50% by volume.
  • step ST2 the wafer was exposed to a second processing gas containing hydrogen fluoride gas (HF) without generating plasma.
  • HF hydrogen fluoride gas
  • step ST3 the wafer was exposed to third plasma P3 generated from a third processing gas containing argon gas (Ar).
  • steps ST1 to ST3 were repeated until the number of repetitions (the number of cycles) of steps ST1 to ST3 reached 10.
  • FIG. 12 is a graph showing the etching amount when the etching method was performed in the 15th to 19th experiments.
  • the vertical axis of the graph indicates the etching amount (nm) of the silicon oxide film.
  • H 2 /N 2 +HF+Ar shows the results of the 15th experiment.
  • HF+Ar shows the results of the 16th experiment.
  • H 2 /N 2 +Ar shows the results of the 17th experiment.
  • H 2 /N 2 shows the results of the 18th experiment.
  • Ar shows the results of the 19th experiment.
  • step ST1 As shown in FIG. 12, from the results of the fifteenth experiment and the sixteenth experiment, it can be seen that the etching amount of the silicon oxide film is increased by about four times by performing the step ST1. Since the time of step ST2 is the same in the fifteenth experiment and the sixteenth experiment, it can be seen that the etching rate of the silicon oxide film increases by about four times by performing step ST1.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Un procédé de gravure selon un mode de réalisation illustratif comprend : (a) une étape consistant à former une première couche comprenant un atome d'azote et un atome d'hydrogène sur une surface d'un film à graver en utilisant un premier gaz de traitement ; (b) une étape consistant à reformer la première couche en une seconde couche en utilisant un deuxième gaz de traitement comprenant un atome d'halogène et un atome d'hydrogène ; et (c) une étape consistant à graver le film à graver ayant la seconde couche formée sur sa surface en utilisant un plasma généré à partir d'un troisième gaz de traitement.
PCT/JP2022/036800 2021-10-06 2022-09-30 Procédé de gravure et dispositif de gravure WO2023058582A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021164621A JP2023055335A (ja) 2021-10-06 2021-10-06 エッチング方法及びエッチング装置
JP2021-164621 2021-10-06

Publications (1)

Publication Number Publication Date
WO2023058582A1 true WO2023058582A1 (fr) 2023-04-13

Family

ID=85803449

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2022/036800 WO2023058582A1 (fr) 2021-10-06 2022-09-30 Procédé de gravure et dispositif de gravure

Country Status (3)

Country Link
JP (1) JP2023055335A (fr)
TW (1) TW202316520A (fr)
WO (1) WO2023058582A1 (fr)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05160085A (ja) * 1991-12-11 1993-06-25 Fujitsu Ltd 半導体装置の製造方法
JP2001085405A (ja) * 1999-09-13 2001-03-30 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JP2009158774A (ja) * 2007-12-27 2009-07-16 Tokyo Electron Ltd 基板処理方法、基板処理装置及び記憶媒体
JP2020004837A (ja) * 2018-06-28 2020-01-09 株式会社日立ハイテクノロジーズ エッチング処理方法およびエッチング処理装置
JP2020053615A (ja) * 2018-09-28 2020-04-02 東京エレクトロン株式会社 エッチング方法
JP2020522131A (ja) * 2017-05-31 2020-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 無水エッチングの方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05160085A (ja) * 1991-12-11 1993-06-25 Fujitsu Ltd 半導体装置の製造方法
JP2001085405A (ja) * 1999-09-13 2001-03-30 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JP2009158774A (ja) * 2007-12-27 2009-07-16 Tokyo Electron Ltd 基板処理方法、基板処理装置及び記憶媒体
JP2020522131A (ja) * 2017-05-31 2020-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 無水エッチングの方法
JP2020004837A (ja) * 2018-06-28 2020-01-09 株式会社日立ハイテクノロジーズ エッチング処理方法およびエッチング処理装置
JP2020053615A (ja) * 2018-09-28 2020-04-02 東京エレクトロン株式会社 エッチング方法

Also Published As

Publication number Publication date
TW202316520A (zh) 2023-04-16
JP2023055335A (ja) 2023-04-18

Similar Documents

Publication Publication Date Title
JP2019046994A (ja) エッチング方法
WO2022244678A1 (fr) Procédé et appareil de traitement de substrats
JP2022034956A (ja) エッチング方法及びプラズマ処理装置
WO2023058582A1 (fr) Procédé de gravure et dispositif de gravure
US20220319860A1 (en) Etching method and etching processing apparatus
US20220246440A1 (en) Substrate processing method and substrate processing apparatus
US20220310361A1 (en) Substrate processing method and substrate processing apparatus
WO2023234214A1 (fr) Procédé de gravure et dispositif de traitement au plasma
JP2022179327A (ja) 基板処理方法及び基板処理装置
WO2023233673A1 (fr) Procédé de gravure et appareil de traitement au plasma
WO2024062995A1 (fr) Procédé de traitement de substrat et appareil de traitement de substrat
WO2024171666A1 (fr) Procédé de gravure et appareil de traitement au plasma
WO2024043239A1 (fr) Procédé de gravure et appareil de traitement au plasma
WO2022220224A1 (fr) Procédé de gravure et dispositif de traitement au plasma
JP7308876B2 (ja) 基板処理方法および基板処理装置
WO2024090252A1 (fr) Procédé de traitement de substrat et dispositif de traitement de substrat
JP2024001464A (ja) エッチング方法及びプラズマ処理装置
JP2022158811A (ja) エッチング方法及びエッチング処理装置
WO2023127820A1 (fr) Procédé de gravure et appareil de traitement au plasma
JP2023050972A (ja) エッチング方法及びプラズマ処理装置
JP2024098769A (ja) エッチング方法及びプラズマ処理装置
JP2023171269A (ja) エッチング方法及びプラズマ処理システム
JP2024098961A (ja) エッチング方法及びプラズマ処理装置
JP2024114273A (ja) エッチング方法及びエッチング装置
JP2023032693A (ja) エッチング方法及びプラズマエッチング装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22878451

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE