WO2021152705A1 - 基板処理装置、半導体装置の製造方法及びプログラム - Google Patents

基板処理装置、半導体装置の製造方法及びプログラム Download PDF

Info

Publication number
WO2021152705A1
WO2021152705A1 PCT/JP2020/003022 JP2020003022W WO2021152705A1 WO 2021152705 A1 WO2021152705 A1 WO 2021152705A1 JP 2020003022 W JP2020003022 W JP 2020003022W WO 2021152705 A1 WO2021152705 A1 WO 2021152705A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
top plate
reaction tube
substrate holder
opening
Prior art date
Application number
PCT/JP2020/003022
Other languages
English (en)
French (fr)
Inventor
敦士 平野
Original Assignee
株式会社Kokusai Electric
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社Kokusai Electric filed Critical 株式会社Kokusai Electric
Priority to KR1020227017809A priority Critical patent/KR20220088920A/ko
Priority to CN202080082498.4A priority patent/CN114762092A/zh
Priority to JP2021573671A priority patent/JP7308299B2/ja
Priority to PCT/JP2020/003022 priority patent/WO2021152705A1/ja
Priority to TW109145234A priority patent/TWI769629B/zh
Publication of WO2021152705A1 publication Critical patent/WO2021152705A1/ja
Priority to US17/825,393 priority patent/US20220301865A1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Definitions

  • This disclosure relates to a substrate processing apparatus, a manufacturing method and a program of a semiconductor apparatus.
  • Patent Document 1 describes a substrate processing apparatus that forms a film on the surface of a substrate in a state where the substrate is held in multiple stages by a substrate holder in a processing furnace.
  • a gap is provided between the top plate of the substrate holder and the inner surface of the reaction tube constituting the processing furnace for accommodating the substrate holder. Need to form. Further, since the product substrate used as a product has a larger surface area than a monitor substrate or a dummy substrate not used as a product, a large amount of processing gas is consumed when processing the substrate.
  • the uniformity of the formed film may deteriorate due to the excess gas generated in the gap between the top plate of the substrate holder and the inner surface of the reaction tube. Such deterioration of uniformity is called a loading effect.
  • the object of the present disclosure is to improve the in-plane uniformity of the film formed on the substrate.
  • a board holder that arranges and holds the boards, A reaction tube for accommodating the substrate holder is provided inside.
  • the substrate holder is A plurality of pillars extending in a direction substantially perpendicular to the substrate around the substrate to be arranged, a top plate in which one end of each of the plurality of pillars is fixed to each other and an opening is provided in the center, and the plurality of pillars.
  • the reaction tube has a protruding portion having a flat tip that protrudes inward in a shape corresponding to the shape of the opening.
  • the protrusion is provided so as to be inserted into the opening while the substrate holder is housed in the reaction tube, and is arranged on a substrate closest to the top plate of the substrate holder rather than the top plate.
  • the technology to approach is provided.
  • FIG. 6A is a side sectional view for explaining the relationship between the substrate holder and the inner tube according to the embodiment of the present disclosure.
  • FIG. 6B is an enlarged view for explaining the periphery of the recess 204c of FIG. 6A.
  • FIG. 9 (A) is a diagram showing the distribution of SiCl 2 partial pressure in the processing furnace in the case of supplying the Si 2 Cl 6 gas onto the wafer by using a process furnace according to the comparative example
  • FIG. 9 (B ) is a diagram showing the distribution of SiCl 2 partial pressure in the processing furnace 202 in the case of supplying the Si 2 Cl 6 gas onto the wafer by using the processing furnace 202 according to the present embodiment.
  • Figure 10 (A) is, SiCl 2 partial pressure on the wafer in each slot number of the case of supplying the Si 2 Cl 6 gas onto the wafer by using the processing furnace 202 according to the processing furnace and the embodiment according to the comparative example It is a figure which shows the uniformity between the wafer surfaces which evaluated the average value of.
  • FIG. 10B shows the center of the wafer and the end of the wafer at each slot number when Si 2 Cl 6 gas is supplied onto the wafer using the processing furnace according to the comparative example and the processing furnace 202 according to the present embodiment. It is a figure which shows the uniformity in the wafer surface which compared the numerical value which divided the difference by the average value.
  • FIG. 1 is a schematic configuration diagram of a substrate processing device 101 according to an embodiment of the present disclosure.
  • FIG. 2 is a side sectional view of the processing furnace 202 according to the embodiment of the present disclosure.
  • the substrate processing apparatus 101 according to the present embodiment is configured as a vertical apparatus that performs oxidation, diffusion processing, thin film forming processing, and the like on a substrate such as a wafer.
  • the substrate processing apparatus 101 is configured as a batch type vertical heat treatment apparatus.
  • the substrate processing apparatus 101 includes a housing 111 in which a main portion such as a processing furnace 202 is provided.
  • a pod (also referred to as a FOUP) 110 is used as the substrate transport container (wafer carrier) into the housing 111.
  • the pod 110 is configured to accommodate, for example, 25 wafers 200 as a substrate made of silicon (Si), silicon carbide (SiC), or the like.
  • a pod stage 114 is arranged on the front side of the housing 111. The pod 110 is configured to be placed on the pod stage 114 with the lid closed.
  • a pod transfer device 118 is provided on the front side (right side in FIG. 1) of the housing 111 and at a position facing the pod stage 114. In the vicinity of the pod transfer device 118, a pod mounting shelf 105, a pod opener (not shown), and a wafer number detector are provided.
  • the pod mounting shelf 105 is arranged above the pod opener and is configured to hold a plurality of pods 110 in a mounted state.
  • the wafer number detector is provided adjacent to the pod opener.
  • the pod transfer device 118 includes a pod elevator 118a that can move up and down while holding the pod, and a pod transfer mechanism 118b as a transfer mechanism.
  • the pod transfer device 118 is configured to transfer the pod 110 between the pod stage 118, the pod mounting shelf 105, and the pod opener by the continuous operation of the pod elevator 118a and the pod transfer mechanism 118b.
  • the pod opener is configured to open the lid of the pod 110.
  • the wafer number detector is configured to detect the number of wafers 200 in the lid-opened pod 110.
  • a wafer transfer machine 125 and a boat 217 as a substrate holder are provided in the housing 111.
  • the wafer transfer machine 125 has an arm (tweezer) 125c, and has a structure capable of raising and lowering in the vertical direction and rotating in the horizontal direction by a driving means (not shown).
  • the arm 125c is configured so that, for example, five wafers can be taken out at the same time.
  • the wafer 200 is configured to be transported between the pod 110 and the boat 217 placed at the position of the pod opener.
  • the pod 110 is placed on the pod stage 114 by an in-process transfer device (not shown) so that the wafer 200 is in a vertical position and the wafer loading / unloading port of the pod 110 faces upward.
  • the pod 110 is rotated by the pod stage 114 in the vertical direction by 90 ° toward the rear of the housing 111.
  • the wafer 200 in the pod 110 is in a horizontal posture, and the wafer loading / unloading port of the pod 110 faces the rear in the housing 111.
  • the pod 110 is automatically transported to a designated shelf position of the pod mounting shelf 105 by the pod transport device 118, delivered, and temporarily stored, and then the pod is temporarily stored from the pod loading shelf 105. Transferred to the opener or transported directly to the pod opener.
  • the pod 110 When the pod 110 is transferred to the pod opener, the pod 110 can be opened by the pod opener. Then, the number of wafers in the pod 110 is detected by the wafer number detector of the pod 110 whose lid is opened.
  • the wafer 200 is picked up from inside the pod 110 by the arm 125c of the wafer transfer machine 125 through the wafer loading / unloading port, and is loaded (charged) into the boat 217 by the transfer operation of the wafer transfer machine 125.
  • the wafer transfer machine 125 which has delivered the wafer 200 to the boat 217, returns to the pod 110 and loads the next wafer 200 into the boat 217.
  • the wafer 200 and the pod 110 are carried out from the processing furnace 202 (boat unloading), the wafer 200 is removed (discharged) from the boat 217 in the reverse procedure of the above procedure, and the wafer 200 and the pod 110 are removed (discharged) from the boat 217 to the outside of the housing 111. It will be paid out.
  • the processing furnace 202 includes a reaction tube 203 constituting a processing container.
  • the reaction tube 203 includes an inner tube 204 as an inner tube and an outer tube 205 as an outer tube provided on the outer side thereof.
  • the inner tube 204 is made of a heat-resistant material such as quartz (SiO 2) or silicon carbide (SiC).
  • quartz SiO 2
  • SiC silicon carbide
  • the inner tube 204 is formed in a cylindrical shape in which the upper end is closed and the lower end is open.
  • the inner tube 204 forms a processing chamber 201 that performs a process of forming a thin film on the wafer 200 inside the inner tube 204.
  • the processing chamber 201 is configured so that the wafer 200 can be accommodated in a state where the wafer 200 is aligned and held in multiple stages in the vertical direction in a horizontal posture by a boat 217.
  • the inner pipe 204 has one or more bulging portions 207 formed by extending from the outer peripheral surface toward the outer pipe 205 side and bulging outward on the side surface.
  • a nozzle chamber 201a extending in the vertical direction is formed in the bulging portion 207, and the nozzle chamber 201a is configured to accommodate the nozzles 230b and 230c described later.
  • the inner tube 204 has an outlet 215 which opens at a position facing the arranged wafers on the outer peripheral surface opposite to the nozzle chamber 201a and allows an atmosphere to flow out into the tubular space 250 between the inner tube 204 and the outer tube 205. ..
  • the outer pipe 205 has a pressure-resistant structure and airtightly accommodates the inner pipe 204. Further, the outer pipe 205 may be provided concentrically with the inner pipe 204.
  • the outer tube 205 has an inner diameter larger than the outer diameter of the inner tube 204, and is formed in a cylindrical shape in which the upper end is closed and the lower end is open.
  • the outer tube 205 is made of a heat-resistant material such as quartz or silicon carbide. In such a reaction tube configuration, the gas flow (convection) formed in parallel to the respective surfaces of the plurality of wafers 200 is dominantly responsible for mass transfer to the vicinity of the surface. At this time, the reaction tube 203 is called a cross-flow reaction tube.
  • the nozzle 230b and the nozzle 230c extend in parallel with the arrangement axis (arrangement direction) of the wafer 200 and are arranged in the bulging portion 207.
  • the nozzle 230b and the nozzle 230c may be provided in an arcuate space between the inner wall of the inner tube 204 and the wafer 200.
  • the nozzle 230b and the nozzle 230c may each be composed of a U-shaped and linear quartz pipe whose tip is closed.
  • Gas supply holes 234b and gas supply holes 234c as gas supply ports for supplying gas to each of the arranged wafers 200 are provided on the side surfaces of the nozzle 230b and the nozzle 230c.
  • the gas supply holes 234b and 234c each have the same or inclined opening area from the lower part to the upper part, and a plurality of gas supply holes 234b and 234c are provided at the same pitch.
  • the upstream ends of the nozzle 230b and the nozzle 230c are connected to the downstream ends of the gas supply pipe 232b and the gas supply pipe 232c, respectively. Further, the nozzles 230b and 230c are configured so as not to have gas supply holes 234b and 234c at positions corresponding to a plurality of arrangement positions surrounded by the cover 400 described later.
  • the nozzles 230b and 230c have gas supply holes 234b and 234c at positions corresponding to a plurality of wafers 200 such as a product substrate or a monitor substrate held at a plurality of arrangement positions between the cover 400 and the top plate 211, which will be described later. It is configured to have.
  • the gas flow (convection) formed in parallel to the respective surfaces of the plurality of wafers 200 is dominantly responsible for mass transfer to the vicinity of the surface.
  • the reaction tube 203 is called a cross-flow reaction tube.
  • a heater 206 As a furnace body is provided concentrically surrounding the side wall surface and the ceiling surface of the reaction tube 203.
  • the heater 206 is formed in a cylindrical shape.
  • the heater 206 is vertically installed by being supported by a heater base as a holding plate (not shown).
  • a temperature sensor 263 as a temperature detector is installed in the reaction tube 203 (for example, between the inner tube 204 and the outer tube 205, inside the inner tube 204, etc.).
  • a temperature control unit 238, which will be described later, is electrically connected to the heater 206 and the temperature sensor 263.
  • the temperature control unit 238 controls the energization condition to the heater 206 at a predetermined timing based on the temperature information detected by the temperature sensor 263 so that the temperature in the processing chamber 201 has a predetermined temperature distribution. It is configured.
  • a manifold (inlet adapter) 209 is arranged concentrically with the outer pipe 205.
  • the manifold 209 is made of, for example, stainless steel.
  • the manifold 209 is formed in a cylindrical shape with open upper and lower ends.
  • the manifold 209 is provided so as to engage with the lower end of the inner pipe 204 and the lower end of the outer pipe 205, or to support the lower end of the inner pipe 204 and the lower end of the outer pipe 205, respectively. It has been done.
  • An O-ring 220a as a sealing member is provided between the manifold 209 and the outer pipe 205. Since the manifold 209 is supported by a heater base (not shown), the reaction tube 203 is vertically installed.
  • a processing container is mainly formed by the reaction tube 203 and the manifold 209.
  • a boat 217 as a substrate holder is carried in from the lower side of the lower end opening of the manifold 209 and accommodated.
  • the boat 217 is made of a heat resistant material such as quartz or silicon carbide.
  • the boat 217 is a plurality of pillars, for example, three pillars 212, a ring-shaped top plate 211 having an opening at the center for fixing the upper ends of the three pillars 212 to each other, and three.
  • a disk-shaped bottom plate 210 for fixing the lower ends of the book pillars 212 to each other is provided.
  • the boat 217 is configured to hold a plurality of wafers 200 arranged at predetermined intervals in a horizontal posture and centered on each other. Further, the boat 217 has a plurality of disk-shaped heat insulating plates 216 as heat insulating members arranged in a horizontal posture below the wafer processing region in which the wafers 200 are arranged, which is the lower part of the boat 217. It is configured to be arranged and held at predetermined intervals with the centers aligned.
  • the heat insulating plate 216 is made of a heat-resistant material such as quartz or silicon carbide. The heat insulating plate 216 is configured to make it difficult to transfer the heat from the heater 206 to the manifold 209 side.
  • a cover 400 that covers the periphery of the boat 217 is provided below the boat 217 and above the heat insulating region on which the heat insulating plate 216 is loaded below the wafer processing region.
  • the cover 400 surrounds a plurality of arrangement positions including the arrangement position closest to the bottom plate 210 among the arrangement positions (also referred to as loading positions) of the wafer 200 on the boat 217 from the upper surface and the side surface.
  • the boat 217 does not hold the wafer 200 such as a product substrate or a monitor substrate at a plurality of arrangement positions surrounded by the cover 400.
  • These arrangement positions can correspond to the positions where the dummy substrate is arranged because sufficient uniformity cannot be obtained in the past.
  • the boat 217 is configured to hold a plurality of wafers 200 such as a product substrate and a monitor substrate at a plurality of arrangement positions between the cover 400 and the top plate 211.
  • nozzles 230b and nozzles 230c for supplying, for example, nitrogen (N 2 ) gas as carrier gas into the processing chamber 201 are provided so as to communicate with each other in the processing chamber 201.
  • the gas supply pipe 232a is provided with a carrier gas source 300a, a mass flow controller 241a as a flow rate controller (flow rate control means), and a valve 310a in this order from the upstream side.
  • a gas flow rate control unit 235 which will be described later, is electrically connected to the valve 310a and the mass flow controller 241a.
  • the gas flow rate control unit 235 is configured to control the start and stop of the carrier gas supply into the processing chamber 201, the supply flow rate, and the like at predetermined timings.
  • the carrier gas supply system is mainly composed of a valve 310a, a mass flow controller 241a, a gas supply pipe 232a, a gas supply pipe 232b, a nozzle 230b, a gas supply pipe 232c, and a nozzle 230c.
  • the carrier gas supply system including the carrier gas source 300a may be considered.
  • a nozzle 230b for supplying, for example, hexachlorodisilane (Si 2 Cl 6 , abbreviated as HCDS) gas as an example of the raw material gas (Si-containing gas) into the processing chamber 201 communicates with the inside of the processing chamber 201. It is provided as follows. The upstream end of the nozzle 230b is connected to the downstream end of the gas supply pipe 232b. The gas supply pipe 232b is provided with a Si raw material gas source 300b, a mass flow controller 241b, and a valve 310b in this order from the upstream side. With the above configuration, it is possible to control the supply flow rate of the Si raw material gas supplied into the processing chamber 201, and the concentration and partial pressure of the Si raw material gas in the processing chamber 201.
  • HCDS hexachlorodisilane
  • a gas flow rate control unit 235 which will be described later, is electrically connected to the valve 310b and the mass flow controller 241b.
  • the gas flow rate control unit 235 is configured to control the start and stop of the supply of Si raw material gas into the processing chamber 201, the supply flow rate, and the like at predetermined timings.
  • the valve 310b, the mass flow controller 241b, the gas supply pipe 232b, and the nozzle 230b constitute the Si raw material gas supply system according to the present embodiment.
  • the Si raw material gas supply system may be considered including the Si raw material gas source 300b.
  • Niriding raw material gas supply system On the side wall of the manifold 209, as an example of the reforming raw material (reaction gas or reactor), for example, ammonia (NH 3 ), nitrogen (N 2 ), nitrous oxide (N 2 O), monomethylhydrazine (CH) which are nitride raw material gases.
  • a nozzle 230c for supplying gas such as 6 N 2 ) into the processing chamber 201 is provided so as to communicate with the inside of the processing chamber 201.
  • the upstream end of the nozzle 230c is connected to the downstream end of the gas supply pipe 232c.
  • the gas supply pipe 232c is provided with a nitriding raw material gas source 300c, a mass flow controller 241c, and a valve 310c in this order from the upstream side.
  • a gas flow rate control unit 235 which will be described later, is electrically connected to the valve 310c and the mass flow controller 241c.
  • the gas flow rate control unit 235 is configured to control the start and stop of the nitriding raw material gas supply into the processing chamber 201, the supply flow rate, and the like at predetermined timings.
  • the nitriding raw material gas supply system is mainly composed of a valve 310c, a mass flow controller 241c, a gas supply pipe 232c, and a nozzle 230c.
  • the nitriding raw material gas supply system may be considered including the nitriding raw material gas source 300c.
  • the gas supply system according to the present embodiment is mainly composed of the Si raw material gas supply system, the nitriding raw material gas supply system, and the carrier gas supply system.
  • An exhaust pipe 231 for exhausting the inside of the processing chamber 201 is provided on the side wall of the manifold 209.
  • the exhaust pipe 231 penetrates the side surface portion of the manifold 209 and communicates with the lower end portion of the tubular space 250, which is an exhaust space formed by the gap between the inner pipe 204 and the outer pipe 205.
  • a pressure sensor 245 as a pressure detector
  • an APC (Auto Pressure Controller) valve 242 as a pressure regulator
  • a vacuum pump. 246 is provided on the downstream side of the exhaust pipe 231 (the side opposite to the connection side with the manifold 209)
  • the pressure control unit 236 controls the opening degree of the APC valve 242 based on the pressure information detected by the pressure sensor 245 so that the pressure in the processing chamber 201 becomes a predetermined pressure (vacuum degree) at a predetermined timing. It is configured to do.
  • the APC valve 242 is an on-off valve that can open and close the valve to stop vacuum exhaust and vacuum exhaust in the processing chamber 201, and further adjust the valve opening degree to adjust the pressure.
  • the exhaust system according to the present embodiment is mainly composed of the exhaust pipe 231 and the pressure sensor 245 and the APC valve 242.
  • the vacuum pump 246 may be included in the exhaust system, and the trap device and the abatement device may be included in the exhaust system.
  • the lower end opening of the manifold 209 is provided with a seal cap 219 as a lid capable of airtightly closing the opening for inserting and removing the boat 217 into and out of the processing container.
  • the seal cap 219 is made of a metal such as stainless steel, and is formed in a disk shape.
  • An O-ring 220b as a sealing member to be joined to the lower end of the manifold 209 is provided on the upper surface of the seal cap 219.
  • the seal cap 219 is configured to sandwich the O-ring 220b and abut the lower end of the manifold 209 from the lower side in the vertical direction of the reaction vessel.
  • the O-ring 220b seals between the reaction tube 203 and the seal cap 219 without directly contacting the reaction tube 203 with the seal cap 219.
  • the O-ring 220b can be sufficiently sealed when pressed to a desired crushing amount.
  • the preferable amount of crushing may vary due to deterioration of the O-ring 220b, but the amount is small compared to the arrangement interval of the wafer 200. If the manifold 209 and the seal cap 219 come into direct contact with each other, particles are generated, which is not preferable. Therefore, a cushion member having no sealing property may be provided on the outer periphery of the O-ring 220b.
  • a rotation mechanism 254 for rotating the boat 217 is provided below the seal cap 219 (that is, on the side opposite to the processing chamber 201 side).
  • the rotation mechanism 254 holds the boat 217.
  • the rotation shaft 255 included in the rotation mechanism 254 is provided so as to penetrate the seal cap 219.
  • the upper end of the rotating shaft 255 rotatably supports the boat 217 from below.
  • an inert gas is flowed in the vicinity of the rotating shaft 255 by an inert gas supply system (not shown) to protect the rotating shaft 255 from the processing gas.
  • the seal cap 219 is configured to be vertically lifted and lowered by a boat elevator 115 as a lifting mechanism provided vertically outside the reaction tube 203. By operating the boat elevator 115, the boat 217 can be carried in and out of the processing chamber 201 (boat load or boat unload).
  • the drive control unit 237 is electrically connected to the rotation mechanism 254 and the boat elevator 115.
  • the drive control unit 237 is configured to control the rotation mechanism 254 and the boat elevator 115 at a predetermined timing so as to perform a predetermined operation.
  • the gas flow rate control unit 235, the pressure control unit 236, the drive control unit 237, and the temperature control unit 238 are electrically connected to the main control unit 239 that controls the entire substrate processing device 101.
  • a controller 240 as a control unit according to the present embodiment is mainly composed of a gas flow rate control unit 235, a pressure control unit 236, a drive control unit 237, a temperature control unit 238, and a main control unit 239.
  • the controller 240 is an example of a control unit (control means) that controls the overall operation of the substrate processing device 101, such as flow rate adjustment of mass flow controllers 241a, 241b, 241c, opening / closing operation of valves 310a, 310b, 310c, and APC valve. Opening and closing of 242 and pressure adjustment operation based on pressure sensor 245, temperature adjustment operation of heater 206 based on temperature sensor 263, start / stop of vacuum pump 246, rotation speed adjustment of rotation mechanism 254, lifting operation of boat elevator 115, etc. It is designed to be controlled.
  • a method of forming a SiN film, which is a silicon nitride film, on the wafer 200 will be described.
  • the Si raw material gas and the reaction gas (nitriding raw material gas) are alternately supplied to form a SiN film on the wafer 200.
  • Si 2 Cl 6 gas is used as the Si raw material gas
  • NH 3 gas is used as the nitriding raw material gas as the reaction gas.
  • FIG. 3 shows an example of the control flow in this embodiment.
  • the boat 217 loaded with the plurality of wafers 200 is lifted by the boat elevator 115 and carried into the processing chamber 201 (boat load).
  • a boat 217 loaded with a plurality of wafers 200 is housed inside the reaction tube 203.
  • the seal cap 219 is in a state of sealing the lower end of the reaction tube 203 via the O-ring 220b.
  • the controller 240 controls the substrate processing apparatus 101 as follows. That is, the heater 206 is controlled to keep the inside of the processing chamber 201 at a temperature in the range of, for example, 300 ° C.
  • the boat 217 is rotated by the rotation mechanism 254 to rotate the wafer 200.
  • the vacuum pump 246 is operated and the APC valve 242 is opened to evacuate the inside of the processing chamber 201.
  • the temperature inside the processing chamber 201 is set to 600 ° C. In the holding state, the steps described later are sequentially executed to perform the step of processing the wafer 200.
  • Step 11 Si 2 Cl 6 gas is flowed.
  • Si 2 Cl 6 is a liquid at room temperature, and in order to supply it to the processing chamber 201, a method of heating and vaporizing it before supplying it, or using a vaporizer (not shown), He (helium) called carrier gas, Ne ( An inert gas such as neon), Ar (argon), N 2 (nitrogen) is passed through a container containing Si 2 Cl 6 gas, and the vaporized gas is supplied to the processing chamber 201 together with the carrier gas.
  • a vaporizer not shown
  • He helium
  • Ne An inert gas such as neon
  • Ar argon
  • N 2 nitrogen
  • Si 2 Cl 6 gas is flowed through the gas supply pipe 232b, and carrier gas (N 2 gas) is flowed through the carrier gas supply pipe 232a connected to the gas supply pipe 232b.
  • carrier gas N 2 gas
  • the valve 310b of the gas supply pipe 232b, the valve 310a of the carrier gas supply pipe 232a connected to the nozzle 230b, and the APC valve 242 of the exhaust pipe 231 are opened together.
  • the carrier gas flows from the carrier gas supply pipe 232a, and the flow rate is adjusted by the mass flow controller 241a.
  • the Si 2 Cl 6 gas flows from the gas supply pipe 232b, the flow rate is adjusted by the mass flow controller 241b, vaporized by a vaporizer (not shown), and the carrier gas whose flow rate is adjusted is mixed.
  • the APC valve 242 is appropriately adjusted to maintain the pressure in the processing chamber 201 in the range of 20 to 60 Pa, for example, 53 Pa.
  • the supply amount of Si 2 Cl 6 gas controlled by the mass flow controller 241b is 0.3 slm.
  • N 2 gas as a carrier gas is supplied from the carrier gas supply pipe 232a connected to the gas supply pipe 232b.
  • the supply flow rate of N 2 gas controlled by the mass flow controller 241a of the carrier gas supply pipe 232a connected to the gas supply pipe 232b is, for example, 1 slm.
  • the time for exposing the wafer 200 to the Si 2 Cl 6 gas is 3 to 10 seconds.
  • the temperature of the heater 206 is set so that the temperature of the wafer is in the range of 300 ° C. to 600 ° C., for example, 600 ° C.
  • the gases flowing in the processing chamber 201 are only Si 2 Cl 6 gas, N 2 gas, Ar gas and other inert gases, and NH 3 gas does not exist. Therefore, the Si 2 Cl 6 gas does not cause a gas phase reaction, but undergoes a surface reaction (chemical adsorption) with the surface or base film of the wafer 200 to adsorb the raw material (Si 2 Cl 6 ) or the Si layer (hereinafter referred to as Si layer). Si-containing layer) is formed.
  • the adsorption layer of Si 2 Cl 6 includes a continuous adsorption layer of raw material molecules as well as a discontinuous adsorption layer.
  • the Si layer includes not only a continuous layer composed of Si but also a Si thin film formed by overlapping these layers. A continuous layer composed of Si may be referred to as a Si thin film.
  • the supply flow rate of N 2 gas controlled by the mass flow controller 241a of the carrier gas supply pipe 232a connected to the gas supply pipe 232c is, for example, 0.1 slm.
  • Step 12 The valve 310b of the gas supply pipe 232b is closed to stop the supply of Si 2 Cl 6 gas to the processing chamber 201.
  • the APC valve 242 of the exhaust pipe 231 is left open, the inside of the processing chamber 201 is exhausted to 20 Pa or less by the vacuum pump 246, and the residual Si 2 Cl 6 is removed from the inside of the processing chamber 201.
  • an inert gas such as N 2 is supplied into the treatment chamber 201, the effect of removing residual Si 2 Cl 6 is further enhanced.
  • Step 13 NH 3 gas is flowed. NH 3 gas is flowed through the gas supply pipe 232c, and carrier gas (N 2 gas) is flowed through the carrier gas supply pipe 232a connected to the gas supply pipe 232c.
  • carrier gas N 2 gas
  • the valve 310c of the gas supply pipe 232c, the valve 310a of the carrier gas supply pipe 232a, and the APC valve 242 of the exhaust pipe 231 are opened together.
  • the carrier gas flows from the carrier gas supply pipe 232a, and the flow rate is adjusted by the mass flow controller 241a.
  • the NH 3 gas flows from the gas supply pipe 232c, the flow rate is adjusted by the mass flow controller 241c, the carrier gas whose flow rate is adjusted is mixed, and the NH 3 gas is supplied into the processing chamber 201 from the gas supply hole 234c of the nozzle 230c and from the exhaust pipe 231. It is exhausted.
  • the APC valve 242 is appropriately adjusted to maintain the pressure inside the processing chamber 201 in the range of 50 to 1000 Pa, for example, 60 Pa.
  • the supply flow rate of NH 3 gas controlled by the mass flow controller 241c is 1 to 10 slm.
  • the time for exposing the wafer 200 to the NH 3 gas is 10 to 30 seconds.
  • the temperature of the heater 206 at this time is a predetermined temperature in the range of 300 ° C. to 600 ° C., and is set to be, for example, 600 ° C.
  • the Si-containing layer chemically adsorbed on the wafer 200 and NH 3 undergo a surface reaction (chemisorption) to form a SiN film on the wafer 200.
  • Step 14 by closing the valve 310c of the gas supply pipe 232c, it stops the supply of the NH 3 gas. Further, the APC valve 242 of the exhaust pipe 231 is left open, the processing chamber 201 is exhausted to 20 Pa or less by the vacuum pump 246, and the residual NH 3 gas is excluded from the processing chamber 201. At this time, an inert gas such as N 2 gas is supplied to the processing chamber 201 from the gas supply pipe 232c on the NH 3 gas supply side and the gas supply pipe 232 b on the Si 2 Cl 6 gas supply side, respectively, and purged. Then, further enhanced effect of removing the residual NH 3 gas.
  • N 2 gas an inert gas
  • the above steps 11 to 14 are set as one cycle, and a SiN film having a predetermined film thickness is formed on the wafer 200 by performing the steps at least once.
  • the atmosphere composed of the Si raw material gas in step 11 and the atmosphere composed of the nitrided raw material gas in step 13 are not mixed in the processing chamber 201. Note that it is processed in.
  • the film thickness of the SiN film may be adjusted to about 1 to 5 nm by controlling the number of cycles.
  • the SiN film formed at this time has a smooth surface and is a dense continuous film.
  • the boat 217 has a plurality of pillars 212 having substantially the same length extending in a direction substantially perpendicular to the wafer 200 and a plurality of pillars 212 around the wafers 200 arranged. It has a ring-shaped top plate 211 having an opening at the center for fixing the vicinity of each upper end to each other, and a disk-shaped bottom plate 210 for fixing the vicinity of the lower ends of the plurality of pillars 212 to each other. That is, three pillars 212 are erected between the bottom plate 210 and the top plate 211 of the boat 217 at intervals of approximately 90 degrees.
  • each pillar 212 is provided with a plurality of support pins 221 as support members for holding the wafer 200 substantially horizontally.
  • Each support pin 221 is provided so as to extend substantially horizontally toward the inner circumference from each of the three pillars 212.
  • a plurality of support pins 221 are provided on each of the three pillars 212 at predetermined intervals (pitch).
  • the cover 400 has a top plate 401 and a tubular side plate 402, and a disk-shaped quartz plate 403 is arranged inside the cover 400 as a substitute for a dummy substrate.
  • the top plate 401 can be airtightly welded to the pillar 212 penetrating the hole, and can be seamlessly welded to the side plate 402 all around.
  • the quartz plate 403 can be welded to the column 212 before the cover 400 is provided.
  • the cover 400 may have a bottom surface, but in that case, a degassing hole is provided on the bottom surface so that the inside is not sealed.
  • the side plate 402 may be divided into three to avoid interference with the pillar 212.
  • the inner tube 204 has a ceiling 204a whose upper end is closed and the upper end of the inner tube 204 is terminated at the end in the direction in which the wafers 200 are loaded and arranged.
  • the outer surface side (upper surface side) of the ceiling 204a has a flat shape, and the inner surface side of the ceiling 204a is provided with a convex portion 204b as a projecting portion that protrudes inward in a cylindrical shape. It can be said that the convex portion 204b has a cylindrical shape with a flat tip, and the tip portion is extruded along the arrangement axis of the wafer 200.
  • An annular concave portion (groove) 204c is formed around the convex portion 204b between the outer peripheral surface of the inner tube 204 and the convex portion 204b.
  • the convex portion 204b is smaller than the opening of the top plate 211 of the boat 217, in other words, the outer diameter of the convex portion 204b is smaller than the inner diameter of the top plate 211.
  • the inner diameter of the recess 204c is smaller than the inner diameter of the top plate 211.
  • the outer diameter of the recess 204c is larger than the outer diameter of the top plate 211.
  • the entire inner surface of the ceiling 204a of the inner pipe 204 is formed along the shape of the upper end (top plate 211) of the boat 217 with a predetermined margin (clearance).
  • the inner surface of the ceiling 204a of the inner pipe 204 has a shape corresponding to the shape of the opening of the top plate 211, and in a state where the inner pipe 204 accommodates the boat 217, the boat 217 is contained in the recess 204c of the inner pipe 204.
  • the top plate 211 is fitted in the top plate 211, and the top plate 211 is arranged in the recess 204c. That is, in a state where the inner pipe 204 accommodates the boat 217, the convex portion 204b of the inner pipe 204 is inserted and fitted into the opening of the top plate 211 of the boat 217.
  • the top plate 211 has a square cross section because it is a ring having a rectangular cross section (a rotating body obtained by rotating a rectangle about a wafer arrangement axis), the corners of the recess 204c are also angular. In the inner tube 204, which requires almost no mechanical strength, it is not necessary to round the corners greatly in order to avoid stress concentration. Therefore, the recess 204c can faithfully follow the shape of the top plate 211.
  • the pillar 212 protrudes from the upper surface of the top plate 211, it can be regarded as a part of the top plate 211.
  • that portion can be regarded as a part of the bottom plate 210.
  • the convex portion 204b is provided at a position where the inner pipe 204 is inserted into the opening of the top plate 211 in a state where the boat 217 is accommodated and can be fitted. There is. At this time, the opening of the top plate 211 and the convex portion 204b of the inner tube 204 are formed in a circular shape concentric with the rotating shaft 255.
  • the height H of the convex portion 204b is a state in which the boat 217 on which the wafer 200 is loaded is airtightly housed in the inner tube 204, that is, the wafer in the inner tube 204.
  • the distance P1 between the tip of the convex portion 204b and the wafer 200 located closest to the top plate 211 and facing the convex portion 204b is the distance between the wafers 200 adjacent to each other in the boat 217. It is set to be substantially equal to P2, that is, the pitch between the wafers 200.
  • the height H of the convex portion 204b is between the convex portion 204b and the wafer 200 arranged closest to the top plate 211 when the O-ring 220b has a predetermined crushing amount that can be sealed.
  • the spacing P1 is set to be substantially equal to the spacing P2 between the wafers 200 adjacent to each other in the boat 217.
  • the height H of the convex portion 204b is between the convex portion 204b and the dummy substrate arranged closest to the top plate 211 when the O-ring 220b has a predetermined crushing amount that can be sealed.
  • the spacing is set sufficiently smaller than the spacing P2 between the wafers 200 adjacent to each other in the boat 217 and larger than the fluctuation of the predetermined crushing amount.
  • the convex portion 204b is provided so as to be inserted into the opening of the top plate 211 in a state where the boat 217 is housed in the reaction tube 203, and is arranged closer to the top plate 211 of the boat 217 than the top plate 211. It is configured to approach the wafer 200.
  • the top plate 211 of the boat 217 is around the convex portion 204b of the inner pipe 204, and a narrow gap is formed in the concave portion 204c so that the boat 217 can be raised and rotated. , The excess gas space in the upper part of the boat 217 can be reduced.
  • the partial pressure of the processing gas supplied to the arranged wafers 200 can be made equal. That is, it is possible to improve the inter-plane uniformity of a wafer such as a product substrate having a large surface area.
  • the cover 400 below the boat 217 and above the heat insulating region on which the heat insulating plate 216 is loaded, the excess gas space in the lower part of the boat 217 can be reduced, and the inter-plane uniformity of the wafer can be improved. It can be improved and the side dummy substrate is not required.
  • the height H is the height H of the top plate 211 of the boat 217 from the bottom surface of the recess 204c of the ceiling 204a of the inner pipe 204. It is configured to be larger than the sum of the distance A1 to the upper surface and the thickness A2 of the top plate 211 in the height direction. Further, the length B1 from the side surface of the convex portion 204b of the inner tube 204 to the inner peripheral surface of the top plate 211 and the length B2 from the outer peripheral surface of the top plate 211 to the inner peripheral surface of the inner tube 204 are substantially equal. It is configured to be.
  • the distance A1 between the bottom surface of the recess 204c of the ceiling 204a of the inner pipe 204 and the top surface of the top plate 211 of the boat 217 is configured to be smaller than that of either B1 or B2. That is, the interval A1 can be made relatively small because it is a margin for the dimensional accuracy of the boat 217 and the fluctuation of the crushing amount of the O-ring 220a.
  • the above-mentioned interval P1 changes depending on the amount of crushing of the O-ring 220a, but usually this fluctuation is slight and can be ignored. If the film quality of the substrate placed closest to the top plate is not stable, that substrate is used as a dummy substrate.
  • the interval P1 is made smaller than the interval P2, for example, about the same as the interval A1, the excess gas space generated above the dummy substrate can be reduced. can.
  • the modified example of FIG. 7 has a different shape from the ceiling 204a of the inner pipe 204 in the above-described embodiment. In this modification, only the configuration different from the inner tube 204 described above will be described.
  • the inner tube 304 according to the modified example has a ceiling 304a whose upper end is closed and the inner tube 304 is terminated at the end in the direction in which the wafers 200 are loaded and arranged.
  • the ceiling 304a has a convex portion 304b as a protruding portion whose upper surface is recessed inward in a cylindrical shape and the inner surface side of the ceiling 304a projects inward in a cylindrical shape.
  • the convex portion 304b has a cylindrical shape with a flat tip.
  • a concave portion 304c is formed around the convex portion 304b and between the outer peripheral surface of the inner tube 304 and the convex portion 304b.
  • the outer diameter of the convex portion 304b is smaller than the opening of the top plate 211 of the boat 217, in other words, it is smaller than the inner diameter of the top plate 211. Further, the inner diameter of the recess 304c is smaller than the inner diameter of the top plate 211.
  • the outer diameter of the recess 304c is larger than the outer diameter of the top plate 211. That is, the inner surface of the ceiling 304a of the inner pipe 304 has a shape corresponding to the shape of the top plate 211, and when the boat 217 is housed in the inner pipe 304, the top plate 211 is inserted into the recess 304c. , It is configured to be arranged in the recess 304c. That is, with respect to the ceiling 204a having a flat upper surface of the inner pipe 204 described above, the upper surface of the ceiling 304a of the inner pipe 304 according to the modified example has a recessed center and protrudes inward in a flat shape.
  • the convex portion 304b is provided at a position where the boat 217 is inserted into the opening of the top plate 211 while the boat 217 is housed in the reaction tube 203. That is, the convex portion 304b is provided so as to be inserted into the opening of the top plate 211 in a state where the boat 217 is housed in the reaction tube 203, and is arranged closer to the top plate 211 of the boat 217 than the top plate 211. It is configured to approach the wafer 200.
  • the corners of the convex portion 304b and the concave portion 304c can be formed to be angular without intentional chamfering for the same reason as in the present embodiment described above. Further, the wall thickness of the ceiling 304a can be reduced to almost the same thickness as the other parts of the inner pipe 304, except for the difficulty of manufacturing and the cost.
  • the upper surface of the ceiling 304a is recessed to form a convex portion 304b protruding inward, and the thickness of the ceiling 304a is reduced to form the ceiling 204a according to the above-described embodiment. Compared with this, the heat capacity can be reduced, and the heat from the heater 206 can be easily transferred into the processing chamber 201.
  • the heat from the heater 206 is transferred to the processing chamber 201 by making the quartz constituting the ceiling 204a according to the present embodiment and the ceiling 304a according to the modified example opaque so as to have different transmittance and thermal conductivity. It is difficult to transfer to the inside, or the heat capacity can be reduced.
  • a modified example of FIG. 8 includes a reaction tube 503 having a single tube structure instead of the reaction tube 203 having a double tube structure composed of an inner tube 204 and an outer tube 205 in the present embodiment described above.
  • the ceiling 503a of the reaction tube 503 has a convex shape similar to that of the ceiling 204a, and a convex portion 503b as a protruding portion is formed and fits into the opening of the top plate 211 of the boat 217. That is, the convex portion 503b is provided so as to be inserted into the opening of the top plate 211 in a state where the boat 217 is housed in the reaction tube 503, and is arranged closer to the top plate 211 of the boat 217 than the top plate 211. It is configured to approach the wafer 200.
  • the wafer 200 as a product substrate having a large area 200 times larger than that of the bare wafer is subjected to substrate processing by the above-described semiconductor device manufacturing method using the processing furnace 202 according to the present embodiment as shown in FIG. 2 (hereinafter, In the case of the wafer 200 as a product substrate by the above-mentioned manufacturing method of the semiconductor device using the processing furnace according to the comparative example, which is different only in that the convex portion 204b and the opening of the top plate 211 are not provided. It was compared with the case where the substrate was processed.
  • the inner surface side of the ceiling of the inner pipe has a flat shape and no protrusion 204b is provided. Further, the top plate of the boat is disk-shaped and no opening is formed. Further, a plurality of dummy substrates are loaded on the boat at the upper and lower ends of the wafer 200 as the product substrate in the arrangement direction. That is, the cover 400 is not provided at the bottom of the boat.
  • FIG. 9A is a diagram showing the partial pressure distribution of SiCl 2 , which is a decomposition product of SiCl 2 gas, when the SiCl 2 gas is supplied in the processing furnace according to the comparative example
  • FIG. 9B is a diagram showing the present. It is a figure which shows the partial pressure distribution of SiCl 2 which is a decomposition product of SiCl 2 gas at the time of supply of SiCl 2 gas in the processing furnace 202 which concerns on Example.
  • FIG. 9 (A) and 9 (B) show how SiCl 2 gas is supplied from the left side, respectively.
  • SiCl 2 gas is supplied onto the wafer in a high concentration above the processing furnace (near the ceiling).
  • FIG. 9B in the processing furnace 202 according to the present embodiment, the SiCl 2 gas above the processing furnace 202 (near the ceiling) as compared with the case where the processing furnace according to the comparative example is used. It was confirmed that the concentration difference of the SiCl 2 gas between the wafers was relaxed, the concentration difference of the SiCl 2 gas was relaxed, and the partial pressure distribution of the SiCl 2 was the same in the arrangement direction of the wafers.
  • FIG. 10A is a diagram showing the uniformity between wafer surfaces in which the average value of the SiCl 2 partial pressures on the wafer at each slot number is evaluated.
  • FIG. 10B is a diagram showing in-plane uniformity of the wafer comparing the numerical values obtained by dividing the difference between the center of the wafer and the outer circumference of the wafer at each slot number by the average value. The larger the slot number, the more the wafer is arranged above the boat 217.
  • the SiCl 2 partial pressure is divided in the upper and lower stages of the boat as compared with that on the wafer in the middle stage. Has become higher. That is, it was confirmed that the film thickness of the SiN film formed on the wafer in the upper and lower stages was thicker than the film thickness of the SiN film formed on the wafer in the middle stage.
  • the difference between the maximum value and the minimum value of the SiCl 2 partial pressure was 0.242.
  • the upper stage of the boat 217 was compared with the case where the processing furnace according to the above-mentioned comparative example was used. It was confirmed that the SiCl 2 partial pressure was lowered and the variation was improved. That is, it was confirmed that the film thickness of the SiN film formed on the wafer in the upper stage is equivalent to the film thickness of the SiN film formed on the wafer in the middle stage. In addition, as a difference 0.242 half is between the maximum and minimum values of SiCl 2 partial pressure in the difference becomes 0.131, Comparative Example between the maximum value and the minimum value of SiCl 2 partial pressure. That is, it was confirmed that the inter-plane uniformity was improved as compared with the case where the processing furnace according to the comparative example was used.
  • the upper stage of the boat 217 was compared with the case where the processing furnace according to the above-mentioned comparative example was used. It was confirmed that the in-plane uniformity was improved and the variation in the height direction of the boat 217 was improved.
  • the gas capacity in the surplus gas space is 68% as compared with the processing furnace according to the comparative example. It was confirmed that the degree can be reduced. As a result, it was confirmed that the SiCl 2 partial pressure can be made equivalent in the loading direction of the wafer, and the interplane uniformity and the in-plane uniformity are improved as compared with the processing furnace according to the comparative example.
  • the above-described embodiment has the following effects. That is, the surplus gas generated on the monitor board or dummy board that consumes less processing gas or in the gap between the top plate 211 of the boat 217 and the inner surface of the reaction tube 203 is reduced, and the surplus gas is the product board. The amount of gas invading the area where the gas is placed is reduced. Therefore, the product board placed in the area where the monitor board or the dummy board is placed or the area close to the top plate of the board holder is the area where the monitor board or the dummy board is placed or the top plate of the boat 217. Compared with the product substrate placed in the region far from 211, the supply amount of the processing gas is increased, and it is possible to prevent the film to be formed from becoming thicker.
  • the inter-plane uniformity can be improved. Since the surplus gas is supplied from the periphery (end side) of the wafer 200, it is possible to prevent the film formed at the end of the wafer 200 from becoming relatively thick and the in-plane uniformity from deteriorating.
  • Substrate processing equipment 203, 503 Reaction tube 204, 304 Inner tube 204a, 304a, 503a Ceiling 204b, 304b, 503b Convex part (example of protruding part) 204c, 304c, 503c Recessed 205 Outer tube 200 Wafer (example of substrate) 201 Processing room 210 Bottom plate 211 Top plate 217 Boat (an example of substrate holder) 400 cover

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

基板に形成される膜の面間面内均一性を向上する。 基板を配列させて保持する基板保持具と、内部に基板保持具を収容する反応管と、を備え、基板保持具は、配列される基板の周囲において基板と略垂直な方向にそれぞれ伸びる複数の柱と、複数の柱のそれぞれの一端を互いに固定し、中心に開口を有する天板と、複数の柱のそれぞれの他端を互いに固定する底板と、を有し、反応管は、開口の形状に対応した形状で内側に向かって突出する先端が平坦な突出部を有し、突出部は、基板保持具を反応管に収容した状態において開口に挿入されるように設けられ、天板よりも、基板保持具の最も天板寄りに配置される基板に対して接近する。

Description

基板処理装置、半導体装置の製造方法及びプログラム
 本開示は、基板処理装置、半導体装置の製造方法及びプログラムに関する。
 特許文献1には、処理炉内で基板保持具に多段に基板を保持した状態で、基板の表面に膜を形成させる基板処理装置が記載されている。
特開2019-165210号公報
 上述のような処理炉内に基板保持具を安全に搬入出し回転させるためには、基板保持具の天板と基板保持具を収容する処理炉を構成する反応管の内面との間に隙間を形成する必要がある。また、製品として使用されるプロダクト基板は、製品として使用されないモニタ基板やダミー基板に比べて表面積が大きいため、基板処理を行う際の処理ガスの消費量が多い。
 このため、基板保持具の天板と反応管の内面との間の隙間で発生する余剰ガスによって、形成される膜の均一性が悪化する場合があった。このような均一性の悪化は、ローディングエフェクトと呼ばれる。
 本開示は、基板に形成される膜の面間面内均一性を向上することを目的とする。
 本開示の第一態様によれば、
 基板を配列させて保持する基板保持具と、
 内部に前記基板保持具を収容する反応管と、を備え、
 前記基板保持具は、
 配列される前記基板の周囲において前記基板と略垂直な方向にそれぞれ伸びる、複数の柱と、前記複数の柱のそれぞれの一端を互いに固定し、中心に開口を有する天板と、前記複数の柱のそれぞれの他端を互いに固定する底板と、を有し、
 前記反応管は、前記開口の形状に対応した形状で内側に向かって突出する先端が平坦な突出部を有し、
 前記突出部は、前記基板保持具を前記反応管に収容した状態において前記開口に挿入されるように設けられ、前記天板よりも、前記基板保持具の最も天板寄りに配置される基板に対して接近する技術が提供される。
 本開示によれば、基板に形成される膜の面間面内均一性を向上させることができる。
本開示の一実施形態に係る基板処理装置101の概略構成図である。 本開示の一実施形態に係る処理炉202の側面断面図である。 本開示の一実施形態における制御フローを示す図である。 本開示の一実施形態に係る基板保持具の斜視図である。 本開示の一実施形態に係る基板保持具と内管との関係を示す斜視図である。 図6(A)は、本開示の一実施形態に係る基板保持具と内管との関係を説明するための側面断面図である。図6(B)は、図6(A)の凹部204c周辺を説明するための拡大図である。 本開示の一実施形態に係る内管の変形例を示す側面断面図である。 本開示の一実施形態に係る反応管の変形例を示す側面断面図である。 図9(A)は、比較例に係る処理炉を用いてウエハ上にSiClガスを供給した場合の処理炉内のSiCl分圧の分布を示した図であり、図9(B)は、本実施例に係る処理炉202を用いてウエハ上にSiClガスを供給した場合の処理炉202内のSiCl分圧の分布を示した図である。 図10(A)は、比較例に係る処理炉と本実施例に係る処理炉202とを用いてウエハ上にSiClガスを供給した場合の各スロット番号におけるウエハ上のSiCl分圧の平均値を評価したウエハ面間均一性を示す図である。図10(B)は、比較例に係る処理炉と本実施例に係る処理炉202とを用いてウエハ上にSiClガスを供給した場合の各スロット番号におけるウエハ中心とウエハ端部の差を平均値で割った数値を比較したウエハ面内均一性を示す図である。
<本開示の一実施形態>
 以下に、本開示の一実施形態について説明する。
(1)基板処理装置の構成
 まず、本実施形態に係る基板処理装置101の構成について、図1、図2を参照しながら説明する。図1は、本開示の一実施形態に係る基板処理装置101の概略構成図である。図2は、本開示の一実施形態に係る処理炉202の側面断面図である。なお、本実施形態にかかる基板処理装置101は、例えばウエハ等の基板に酸化、拡散処理、薄膜形成処理などを行なう縦型の装置として構成されている。
(全体構成)
 図1に示すように、基板処理装置101は、バッチ式縦型熱処理装置として構成されている。基板処理装置101は、内部に処理炉202などの主要部が設けられる筐体111を備えている。筐体111内への基板搬送容器(ウエハキャリア)としては、ポッド(FOUP(フープ)ともいう)110が用いられる。ポッド110内には、シリコン(Si)又は炭化シリコン(SiC)等で構成された基板としてのウエハ200が、例えば25枚収納されるように構成されている。筐体111の正面側には、ポッドステージ114が配置されている。ポッド110は、蓋が閉じられた状態でポッドステージ114上に載置されるように構成されている。
 筐体111内の正面側(図1の右側)であってポッドステージ114に対向する位置には、ポッド搬送装置118が設けられている。ポッド搬送装置118の近傍には、ポッド載置棚105及び図示しないポッドオープナ及びウエハ枚数検出器が設けられている。ポッド載置棚105は、ポッドオープナの上方に配置され、ポッド110を複数個載置した状態で保持するように構成されている。ウエハ枚数検出器は、ポッドオープナに隣接して設けられる。ポッド搬送装置118は、ポッドを保持したまま昇降可能なポッドエレベータ118aと、搬送機構としてのポッド搬送機構118bとで構成されている。ポッド搬送装置118は、ポッドエレベータ118aとポッド搬送機構118bとの連続動作により、ポッドステージ118とポッド載置棚105とポッドオープナとの間でポッド110を搬送するように構成されている。ポッドオープナは、ポッド110の蓋を開けるように構成されている。ウエハ枚数検出器は、蓋を開けられたポッド110内のウエハ200の枚数を検知するように構成されている。
 筐体111内には、ウエハ移載機125、基板保持具としてのボート217が設けられている。ウエハ移載機125は、アーム(ツィーザ)125cを有し、図示しない駆動手段により、上下方向への昇降と水平方向への回転動作が可能な構造になっている。アーム125cは、例えば5枚のウエハを同時に取り出すことができるように構成されている。アーム125cを動かすことにより、ポッドオープナの位置に置かれたポッド110及びボート217間にて、ウエハ200が搬送されるように構成されている。
 次に、本実施形態にかかる基板処理装置101の動作について説明する。
 まず、図示しない工程内搬送装置によって、ウエハ200が垂直姿勢となりポッド110のウエハ出し入れ口が上方向を向くように、ポッドステージ114上にポッド110が載置される。その後、ポッド110は、ポッドステージ114によって、筐体111の後方に向けて縦方向に90°回転させられる。その結果、ポッド110内のウエハ200は水平姿勢となり、ポッド110のウエハ出し入れ口は筐体111内の後方を向く。
 次に、ポッド110は、ポッド搬送装置118によって、ポッド載置棚105の指定された棚位置へ自動的に搬送されて受け渡されて一時的に保管された後、ポッド載置棚105からポッドオープナに移載されるか、もしくは直接ポッドオープナに搬送される。
 ポッド110がポッドオープナに移載されると、ポッド110はポッドオープナによって蓋を開けられる。そして、蓋を開けられたポッド110は、ウエハ枚数検出器によってポッド110内のウエハ枚数を検知される。ウエハ200は、ウエハ移載機125のアーム125cによって、ウエハ出し入れ口を通じてポッド110内からピックアップされ、ウエハ移載機125の搬送動作によってボート217に装填(チャージ)される。ボート217にウエハ200を受け渡したウエハ移載機125は、ポッド110に戻り、次のウエハ200をボート217に装填する。
 予め指定された枚数のウエハ200がボート217に装填されると、炉口シャッタ147によって閉じられていた処理炉202の下端部が、炉口シャッタ147によって開放される。続いて、シールキャップ219がボートエレベータ115(図2参照)によって上昇されることにより、ウエハ200群を保持したボート217が処理炉202内へ搬入(ボートロード)される。ロード後は、処理炉202にてウエハ200に任意の処理が実施される。かかる処理については後述する。処理後、ウエハ200及びポッド110は、処理炉202から搬出(ボートアンロード)され、上述の手順とは逆の手順でウエハ200がボート217から脱装(ディスチャージ)され、筐体111の外部へ払出される。
(処理炉の構成)
 続いて、本実施形態に係る処理炉202の構成について、図2を用いて説明する。
(処理室)
 図2に示すように、処理炉202は処理容器を構成する反応管203を備えている。反応管203は、内管としてのインナー管204と、その外側に設けられた外管としてのアウター管205と、を備えている。インナー管204は、例えば石英(SiO)または炭化シリコン(SiC)等の耐熱性材料により構成されている。詳細には後述するが、インナー管204は、上端が閉塞し下端が開口した円筒形状に形成されている。インナー管204は、その内部にウエハ200上に薄膜を形成する処理を行う処理室201を形成している。処理室201は、ウエハ200をボート217によって水平姿勢で垂直方向に多段に整列保持した状態で収容可能に構成されている。インナー管204は、外周面からアウター管205側へ向けて延出し、側面が外側に膨らんで形成される膨らみ部207を1つ以上有する。膨らみ部207内には、上下方向に延びるノズル室201aが形成され、ノズル室201a内に後述するノズル230bとノズル230cを収容するよう構成されている。また、インナー管204は、ノズル室201aと反対側の外周面において、配列されたウエハを臨む位置に開口し、アウター管205との間の筒状空間250に雰囲気を流出させる排出口215を有する。
 アウター管205は、耐圧構造を有し、インナー管204を気密に収容する。また、アウター管205は、インナー管204と同心円状に設けられうる。アウター管205は、内径がインナー管204の外径よりも大きく、上端が閉塞し下端が開口した円筒形状に形成されている。アウター管205は、例えば石英または炭化シリコン等の耐熱性材料により構成されている。このような反応管の構成において、複数のウエハ200のそれぞれの表面に対する平行に形成されるガスの流れ(対流)は、表面近傍への物質移動を支配的に担う。このとき反応管203はクロスフロー反応管と呼ばれる。
(ノズル)
 ノズル230b及びノズル230cは、ウエハ200の配列軸(配列方向)と平行に延びて、膨らみ部207内に配置されている。ノズル230b及びノズル230cは、インナー管204の内壁とウエハ200との間における円弧状の空間に設けてもよい。ノズル230b及びノズル230cは、それぞれ先端が閉塞するU字形状および直線状の石英パイプで構成されうる。ノズル230bおよびノズル230cの側面には、配列されたウエハ200のそれぞれにガスを供給するガス供給口としてのガス供給孔234bとガス供給孔234cが設けられている。ガス供給孔234b,234cは、下部から上部にわたってそれぞれ同一又は、大きさに傾斜を付けた開口面積を有し、さらに同じピッチで複数設けられている。ノズル230b及びノズル230cの上流端は、それぞれガス供給管232b及びガス供給管232cの下流端に接続されている。また、ノズル230b,230cは、後述するカバー400に包囲された複数の配列位置に対応する位置にガス供給孔234b,234cを有さないように構成されている。また、ノズル230b,230cは、後述するカバー400と天板211の間の複数の配列位置で保持されるプロダクト基板又はモニタ基板等の複数のウエハ200に対応する位置にガス供給孔234b,234cを有するように構成されている。このような処理室とノズルの構成において、複数のウエハ200のそれぞれの表面に対する平行に形成されるガスの流れ(対流)は、表面近傍への物質移動を支配的に担う。このとき反応管203はクロスフロー反応管と呼ばれる。
(ヒータ)
 反応管203の外側には、反応管203の側壁面及び天井面を囲う同心円状に、炉体としてのヒータ206が設けられている。ヒータ206は円筒形状に形成されている。ヒータ206は、図示しない保持板としてのヒータベースに支持されることにより垂直に据え付けられている。反応管203内(例えばインナー管204とアウター管205との間や、インナー管204の内側等)には、温度検出器としての温度センサ263が設置されている。ヒータ206及び温度センサ263には、後述する温度制御部238が電気的に接続されている。温度制御部238は、処理室201内の温度が所定の温度分布となるように、温度センサ263により検出された温度情報に基づいてヒータ206への通電具合を所定のタイミングにて制御するように構成されている。
(マニホールド)
 アウター管205の下方には、アウター管205と同心円状にマニホールド(インレットアダプタ)209が配設されている。マニホールド209は、例えばステンレス等により構成されている。マニホールド209は、上端及び下端が開口した円筒形状に形成されている。マニホールド209は、インナー管204の下端部とアウター管205の下端部とにそれぞれ係合するように設けられたり、インナー管204の下端部とアウター管205の下端部とをそれぞれ支持するように設けられたりしている。なお、マニホールド209とアウター管205との間には、シール部材としてのOリング220aが設けられている。マニホールド209が図示しないヒータベースに支持されることにより、反応管203は垂直に据え付けられた状態となっている。主に、反応管203とマニホールド209とにより処理容器が形成されている。
(ボート)
 反応管203の内部であって処理室201内には、基板保持具としてのボート217が、マニホールド209の下端開口の下方側から搬入されて収容されるように構成されている。ボート217は、例えば石英や炭化シリコン等の耐熱性材料により構成されている。ボート217は、詳細には後述するが、複数の柱であって例えば3本の柱212と、3本の柱212の上端を互いに固定する中心に開口を有するリング形状の天板211と、3本の柱212の下端を互いに固定する円板形状の底板210と、を備える。ボート217は、複数枚のウエハ200を、水平姿勢であって互いに中心を揃えた状態で、所定の間隔で配列させて保持するように構成されている。また、ボート217は、ボート217の下部であってウエハ200が配列されたウエハ処理領域よりも下方に、円板形状をした複数枚の断熱部材としての断熱板216を、水平姿勢であって互いに中心をそろえた状態で、所定の間隔で配列させて保持するように構成されている。断熱板216は、例えば石英や炭化シリコン等の耐熱性材料により構成されている。断熱板216は、ヒータ206からの熱をマニホールド209側に伝え難くするように構成されている。
 また、ボート217の下方であってウエハ処理領域よりも下方の断熱板216が積載された断熱領域の上方には、ボート217の周囲を覆うカバー400が設けられている。カバー400は、ボート217におけるウエハ200の配置位置(積載位置ともいう)の内、最も底板210に近い配列位置を含む複数の配列位置を、上面及び側面から包囲する。ボート217は、カバー400に包囲された複数の配列位置ではプロダクト基板やモニタ基板等のウエハ200を保持しない。これらの配置位置は、従来、十分な均一性が得られないためにダミー基板が配置されていた位置に対応しうる。また、ボート217は、カバー400と天板211の間の複数の配列位置で、プロダクト基板やモニタ基板等の複数のウエハ200を保持するように構成されている。
(キャリアガス供給系)
 マニホールド209の側壁には、キャリアガスとして例えば窒素(N)ガスを処理室201内に供給するノズル230b及びノズル230cが、処理室201内に連通するように設けられている。ガス供給管232aには、上流側から順に、キャリアガス源300a、流量制御器(流量制御手段)としてのマスフローコントローラ241a及びバルブ310aが設けられている。上記構成により、ガス供給管232aを介して処理室201内へ供給するキャリアガスの供給流量、処理室201内のキャリアガスの濃度や分圧を制御することができる。
 バルブ310a、マスフローコントローラ241aには、後述するガス流量制御部235が電気的に接続されている。ガス流量制御部235は、処理室201内へのキャリアガス供給の開始や停止、供給流量等を所定のタイミングにて制御するように構成されている。
 主に、バルブ310a、マスフローコントローラ241a、ガス供給管232a、ガス供給管232b、ノズル230b、ガス供給管232c、ノズル230cにより、本実施形態に係るキャリアガス供給系が構成される。なお、キャリアガス源300aを含めてキャリアガス供給系と考えても良い。
(Si原料ガス供給系)
 マニホールド209の側壁には、原料ガス(Si含有ガス)の一例として例えばヘキサクロロジシラン(SiCl、略称、HCDS)ガスを処理室201内に供給するノズル230bが、処理室201内に連通するように設けられている。ノズル230bの上流端は、ガス供給管232bの下流端に接続されている。ガス供給管232bには、上流側から順に、Si原料ガス源300b、マスフローコントローラ241b及びバルブ310bが設けられている。上記構成により、処理室201内へ供給するSi原料ガスの供給流量、処理室201内のSi原料ガスの濃度や分圧を制御することができる。
 バルブ310b、マスフローコントローラ241bには、後述するガス流量制御部235が電気的に接続されている。ガス流量制御部235は、処理室201内へのSi原料ガス供給の開始や停止、供給流量等を所定のタイミングにて制御するように構成されている。
 主に、バルブ310b、マスフローコントローラ241b、ガス供給管232b、ノズル230bにより、本実施形態に係るSi原料ガス供給系が構成される。なお、Si原料ガス源300bを含めてSi原料ガス供給系と考えても良い。
(窒化原料ガス供給系)
 マニホールド209の側壁には、改質原料(反応ガスまたはリアクタント)の一例として例えば窒化原料ガスであるアンモニア(NH)、窒素(N)、亜酸化窒素(NO)、モノメチルヒドラジン(CH)等のガスを処理室201内に供給するノズル230cが、処理室201内に連通するように設けられている。ノズル230cの上流端は、ガス供給管232cの下流端に接続されている。ガス供給管232cには、上流側から順に、窒化原料ガス源300c、マスフローコントローラ241c及びバルブ310cが設けられている。上記構成により、処理室201内へ供給する窒化原料ガスの供給流量、処理室201内の窒化原料ガスの濃度や分圧を制御することができる。
 バルブ310c、マスフローコントローラ241cには、後述するガス流量制御部235が電気的に接続されている。ガス流量制御部235は、処理室201内への窒化原料ガス供給の開始や停止、供給流量等を所定のタイミングにて制御するように構成されている。
 主に、バルブ310c、マスフローコントローラ241c、ガス供給管232c、ノズル230cにより、本実施形態に係る窒化原料ガス供給系が構成される。なお、窒化原料ガス源300cを含めて窒化原料ガス供給系と考えても良い。
 そして、主に、Si原料ガス供給系、窒化原料ガス供給系及びキャリアガス供給系により、本実施形態に係るガス供給系が構成される。
(排気系)
 マニホールド209の側壁には、処理室201内を排気する排気管231が設けられている。排気管231は、マニホールド209の側面部を貫通しており、インナー管204とアウター管205との隙間によって形成される排気空間である筒状空間250の下端部に連通している。排気管231の下流側(マニホールド209との接続側と反対側)には、上流側から順に、圧力検出器としての圧力センサ245、圧力調整装置としてのAPC(Auto Pressure Controller)バルブ242、真空ポンプ246が設けられている。
 圧力センサ245及びAPCバルブ242には、後述する圧力制御部236が電気的に接続されている。圧力制御部236は、圧力センサ245により検知した圧力情報に基づいて、処理室201内の圧力が所定のタイミングにて所定の圧力(真空度)となるように、APCバルブ242の開度を制御するように構成されている。なお、APCバルブ242は弁を開閉して処理室201内の真空排気・真空排気停止ができ、更に弁開度を調節して圧力調整可能となっている開閉弁である。
 主に、排気管231、圧力センサ245、APCバルブ242により、本実施形態に係る排気系が構成される。なお、真空ポンプ246を排気系に含めて考えても良く、さらには、トラップ装置や除害装置を排気系に含めて考えても良い。
(シールキャップ)
 マニホールド209の下端開口には、処理容器にボート217を出し入れする開口を気密に閉塞することが可能な蓋としてのシールキャップ219が設けられている。シールキャップ219は、例えばステンレス等の金属により構成されており、円盤状に形成されている。シールキャップ219の上面には、マニホールド209の下端と接合するシール部材としてのOリング220bが設けられている。シールキャップ219は、Oリング220bを挟み込んで、マニホールド209の下端に、反応容器の垂直方向下側から当接するように構成されている。Oリング220bは、反応管203とシールキャップ219を直接接触させることなく、反応管203とシールキャップ219との間を密封する。Oリング220bは、押圧され好ましい潰し量となったときに十分な密封を行うことができる。なお好ましい潰し量は、Oリング220bの劣化により変動しうるが、その量はウエハ200の配列間隔に比べればわずかである。マニホールド209とシールキャップ219が直接接触するとパーティクルが発生するため好ましくない。このためOリング220bの外周に、シール性を有しないクッション部材が設けられうる。
(回転機構)
 シールキャップ219の下方(すなわち処理室201側とは反対側)には、ボート217を回転させる回転機構254が設けられている。回転機構254は、ボート217を保持する。回転機構254が備える回転軸255は、シールキャップ219を貫通するように設けられている。回転軸255の上端部は、ボート217を下方から回転可能に支持している。回転機構254を作動させることにより、ボート217及びウエハ200を処理室201内で回転させることが可能に構成されている。なお、回転軸255が処理ガスにより影響を受けにくくなるように、不図示の不活性ガス供給系により回転軸255の近傍に不活性ガスを流し、処理ガスから保護するようにしている。
(ボートエレベータ)
 シールキャップ219は、反応管203の外部に垂直に設けられた昇降機構としてのボートエレベータ115によって、垂直方向に昇降されるように構成されている。ボートエレベータ115を作動させることにより、ボート217を処理室201内外へ搬入出(ボートロード或いはボートアンロード)させることが可能に構成されている。
 回転機構254及びボートエレベータ115には、駆動制御部237が電気的に接続されている。駆動制御部237は、回転機構254及びボートエレベータ115が所定の動作をするよう所定のタイミングにて制御するように構成されている。
(コントローラ)
 上述のガス流量制御部235、圧力制御部236、駆動制御部237及び温度制御部238は、基板処理装置101全体を制御する主制御部239に電気的に接続されている。主に、ガス流量制御部235、圧力制御部236、駆動制御部237、温度制御部238及び主制御部239により、本実施形態に係る制御部としてのコントローラ240が構成されている。
 コントローラ240は、基板処理装置101の全体の動作を制御する制御部(制御手段)の一例であって、マスフローコントローラ241a,241b,241cの流量調整、バルブ310a,310b,310cの開閉動作、APCバルブ242の開閉および圧力センサ245に基づく圧力調整動作、温度センサ263に基づくヒータ206の温度調整動作、真空ポンプ246の起動・停止、回転機構254の回転速度調節、ボートエレベータ115の昇降動作等をそれぞれ制御するようになっている。
(2)半導体装置の製造方法
 次に、上述の基板処理装置101の処理炉202を用いて、半導体装置(デバイス)の製造工程の一工程として、大規模集積回路(Large Scale Integration;LSI)を製造する際などに、ウエハ200上に絶縁膜を成膜する方法の例について説明する。尚、以下の説明において、基板処理装置101を構成する各部の動作はコントローラ240により制御される。
 本実施形態では、シリコン窒化膜であるSiN膜をウエハ200上に形成する方法について説明する。
 まずSi原料ガスと反応ガス(窒化原料ガス)とを交互に供給してウエハ200上にSiN膜を形成する。
 本実施形態では、Si原料ガスとしてSiClガス、反応ガスとしての窒化原料ガスとしてNHガスを用いる例について説明する。
 図3は、本実施形態における制御フローの一例を示す。まず、複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、複数枚のウエハ200を積載したボート217は、ボートエレベータ115によって持ち上げられて処理室201内に搬入(ボートロード)され、複数枚のウエハ200を積載したボート217が、反応管203の内部に収容される。この状態で、シールキャップ219はOリング220bを介して反応管203の下端をシールした状態となる。さらに、成膜プロセスでは、コントローラ240が、基板処理装置101を下記の通りに制御する。すなわち、ヒータ206を制御して処理室201内を例えば300℃~600℃の範囲の温度であって、例えば600℃に保持する。その後、ボート217を回転機構254により回転させ、ウエハ200を回転させる。その後、真空ポンプ246を作動させるとともにAPCバルブ242を開いて処理室201内を真空引きし、ウエハ200の温度が600℃に達して温度等が安定したら、処理室201内の温度を600℃に保持した状態で後述するステップを順次実行し、ウエハ200を処理する工程を行う。
(ステップ11)
 ステップ11では、SiClガスを流す。SiClは常温で液体であり、処理室201に供給するには、加熱して気化させてから供給する方法、図示しない気化器を使用してキャリアガスと呼ばれるHe(ヘリウム)、Ne(ネオン)、Ar(アルゴン)、N(窒素)などの不活性ガスをSiClガスの入った容器の中に通し、気化している分をそのキャリアガスと共に処理室201へと供給する方法などがあるが、例として後者のケースで説明する。
 ガス供給管232bにSiClガスを、ガス供給管232bに接続されているキャリアガス供給管232aにキャリアガス(Nガス)を流す。ガス供給管232bのバルブ310b、ノズル230bに接続されるキャリアガス供給管232aのバルブ310a、および排気管231のAPCバルブ242のそれぞれを共に開ける。キャリアガスは、キャリアガス供給管232aから流れ、マスフローコントローラ241aにより流量調整される。SiClガスは、ガス供給管232bから流れ、マスフローコントローラ241bにより流量調整され、図示しない気化器により気化され、流量調整されたキャリアガスを混合し、ノズル230bのガス供給孔234bから処理室201内に供給されつつ排気管231から排気される。この時、APCバルブ242を適正に調整して処理室201内の圧力を20~60Paの範囲であって、例えば53Paに維持する。マスフローコントローラ241bで制御するSiClガスの供給量は0.3slmである。また、同時にガス供給管232bに接続されているキャリアガス供給管232aからキャリアガスとしてのNガスを供給する。ガス供給管232bに接続されているキャリアガス供給管232aのマスフローコントローラ241aで制御するNガスの供給流量は例えば1slmである。SiClガスにウエハ200を晒す時間は3~10秒間である。このときヒータ206の温度は、ウエハの温度が300℃~600℃の範囲であって、例えば600℃になるよう設定してある。
 このとき、処理室201内に流しているガスは、SiClガスとNガス、Arガス等の不活性ガスのみであり、NHガスは存在しない。したがって、SiClガスは気相反応を起こすことはなく、ウエハ200の表面や下地膜と表面反応(化学吸着)して、原料(SiCl)の吸着層またはSi層(以下、Si含有層)を形成する。SiClの吸着層とは、原料分子の連続的な吸着層の他、不連続な吸着層をも含む。Si層とは、Siにより構成される連続的な層の他、これらが重なってできるSi薄膜をも含む。尚、Siにより構成される連続的な層をSi薄膜という場合もある。
 同時に、ガス供給管232cに接続されているキャリアガス供給管232aから、バルブ310aを開けて不活性ガスを流すと、後述するNHガス供給側にSiClガスが回り込むことを防ぐことができる。ガス供給管232cに接続されているキャリアガス供給管232aのマスフローコントローラ241aで制御するNガスの供給流量は例えば0.1slmである。
(ステップ12)
 ガス供給管232bのバルブ310bを閉めて処理室201へのSiClガスの供給を停止する。このとき排気管231のAPCバルブ242は開いたままとし、真空ポンプ246により処理室201内を20Pa以下となるまで排気し、残留SiClを処理室201内から排除する。このときN等の不活性ガスを処理室201内へ供給すると、更に残留SiClを排除する効果が高まる。
(ステップ13)
 ステップ13では、NHガスを流す。ガス供給管232cにNHガスを、ガス供給管232cに接続されるキャリアガス供給管232aにキャリアガス(Nガス)を流す。ガス供給管232cのバルブ310c、キャリアガス供給管232aのバルブ310a、および排気管231のAPCバルブ242のそれぞれを共に開ける。キャリアガスは、キャリアガス供給管232aから流れ、マスフローコントローラ241aにより流量調整される。NHガスは、ガス供給管232cから流れ、マスフローコントローラ241cにより流量調整され、流量調整されたキャリアガスを混合し、ノズル230cのガス供給孔234cから処理室201内に供給されつつ排気管231から排気される。NHガスを流すときは、APCバルブ242を適正に調節して処理室201内圧力を50~1000Paの範囲であって、例えば60Paに維持する。マスフローコントローラ241cで制御するNHガスの供給流量は1~10slmである。NHガスにウエハ200を晒す時間は10~30秒間である。このときのヒータ206の温度は、300℃~600℃の範囲の所定の温度であって、例えば600℃になるよう設定してある。
 同時に、ガス供給管232bに接続されているキャリアガス供給管232aから、開閉バルブ310aを開けて不活性ガスを流すと、SiClガス供給側にNHガスが回り込むことを防ぐことができる。
 NHガスの供給により、ウエハ200上に化学吸着したSi含有層とNHが表面反応(化学吸着)して、ウエハ200上にSiN膜が形成される。
(ステップ14)
 ステップ14では、ガス供給管232cのバルブ310cを閉めて、NHガスの供給を止める。また、排気管231のAPCバルブ242は開いたままにし、真空ポンプ246により、処理室201を20Pa以下に排気し、残留NHガスを処理室201から排除する。また、この時には、Nガス等の不活性ガスを、NHガス供給側であるガス供給管232cおよびSiClガス供給側であるガス供給管232bからそれぞれ処理室201に供給してパージすると、残留NHガスを排除する効果が更に高まる。
 上記ステップ11~14を1サイクルとし、少なくとも1回以上行なうことによりウエハ200上に所定膜厚のSiN膜を成膜する。この場合、各サイクル中で、上記の通りに、ステップ11におけるSi原料ガスにより構成される雰囲気と、ステップ13における窒化原料ガスにより構成される雰囲気の夫々の雰囲気が処理室201内で混合しないように処理することに留意する。
 また、SiN膜の膜厚は、サイクル数を制御して、1~5nm程度に調整すると良い。このときに形成されるSiN膜は、表面が滑らか(スムーズ)であって且つ緻密な連続膜となる。
(3)次に、ボート217とボート217を収容するインナー管204について、図4、図5、図6(A)及び図6(B)を用いて更に詳述する。
 上述したように、ボート217は、図4に示すように、配列されるウエハ200の周囲においてウエハ200と略垂直な方向にそれぞれ伸びる略同じ長さの複数の柱212と、複数の柱212のそれぞれの上端付近を互いに固定する中心に開口を有するリング形状の天板211と、複数の柱212のそれぞれの下端付近を互いに固定する円板形状の底板210と、を有する。すなわち、ボート217の底板210と天板211との間に3本の柱212が略90度の間隔で架設されている。ボート217は、決められた箇所を掴んで、横に寝たボート217を立たせる際にかかる応力や、立てたボート217を持ち上げて運ぶ際にかかる応力に対して、十分な強度を有するよう設計される。また、それぞれの柱212には、図5に示すように(図4において不図示)、ウエハ200を略水平に保持するための支持部材としての支持ピン221が複数設けられている。それぞれの支持ピン221は、3本の柱212から、それぞれ内周に向かって略水平に伸びるように設けられている。また、支持ピン221は、3本の柱212のそれぞれに、所定の間隔(ピッチ)で複数設けられている。
 カバー400は、上面板401と筒状の側面板402を有し、その内部には、ダミー基板の代替として円盤状の石英板403が配置されている。上面板401は、穴を貫通する柱212と気密に溶接され、更に側面板402とも全周で継ぎ目なく溶接されうる。石英板403はカバー400が設けられる前に柱212に溶接されうる。カバー400は底面を有してもよいが、その場合は底面にガス抜き穴を設けて内部が密閉されないようにする。側面板402は柱212との干渉を避けるため3個に分割されてもよい。
 インナー管204は、上端が閉塞し、ウエハ200を積載して配列させる方向の端においてインナー管204の上部を終端する天井204aを有する。天井204aの外面側(上面側)は平坦形状で、天井204aの内面側には、内側に向かって円筒形状に突出する突出部としての凸部204bが設けられている。凸部204bは、先端が平坦の円筒形状であり、先端部がウエハ200の配列軸に沿って押し出された形状とも言える。凸部204bの周囲であって、インナー管204の外周面と凸部204bとの間には、環状の凹部(溝)204cが形成されている。図6(A)に示すように、凸部204bは、ボート217の天板211の開口よりも小さく、言い換えれば、凸部204bの外径は、天板211の内径よりも小さい。また、凹部204cの内径は、天板211の内径よりも小さい。また、凹部204cの外径は、天板211の外径よりも大きく構成されている。言い換えれば、インナー管204の天井204aの内面全体が、ボート217の上端(天板211)の形状に沿って、所定のマージン(クリアランス)を伴って形成されている。
 すなわち、インナー管204の天井204aの内面は、天板211の開口の形状に対応した形状であって、インナー管204がボート217を収容した状態において、インナー管204の凹部204c内に、ボート217の天板211が嵌め込まれて、天板211が凹部204c内に配置されるように構成されている。すなわち、インナー管204がボート217を収容した状態において、インナー管204の凸部204bが、ボート217の天板211の開口に挿入されて嵌め込まれるよう構成されている。天板211が、長方形断面を有する環(長方形をウエハ配列軸で回転させた回転体)であるがために角張った断面を有していれば、凹部204cの角も角張ったものとなる。機械的強度がほとんど要求されないインナー管204では、応力集中を避けるために角を大きく丸める必要が無い。そのため、凹部204cは、天板211の形状に忠実に倣うことができる。なお、天板211の上面から柱212が突出している場合、それを天板211の一部とみなすことができる。同様に、天板210の下面から柱212が突出している場合、その部分を底板210の一部とみなすことができる。凸部204bは、図2、図6に示すように、インナー管204がボート217を収容した状態において、天板211の開口に挿入されるような位置であって嵌め込み可能な位置に設けられている。このとき、天板211の開口とインナー管204の凸部204bとは、回転軸255と同心の円形状に形成されている。
 また、図6(A)に示すように、凸部204bの高さHは、ウエハ200が積載されたボート217がインナー管204内に気密に収容された状態において、すなわちインナー管204内でウエハ200が処理されるときに、凸部204bの先端と、最も天板211寄りに配置され凸部204bと向かい合うウエハ200との間の間隔P1が、ボート217内で互いに隣接するウエハ200間の間隔P2、すなわちウエハ200間のピッチと略等しくなるように設定される。つまり、凸部204bの高さHは、Oリング220bが密封することができる所定の潰し量となったときに、凸部204bと、最も天板211寄りに配置されるウエハ200との間の間隔P1が、ボート217内で互いに隣接するウエハ200間の間隔P2と略等しくなるように設定される。また、凸部204bの高さHは、Oリング220bが密封することができる所定の潰し量となったときに、凸部204bと、最も天板211寄りに配置されるダミー基板との間の間隔が、ボート217内で互いに隣接するウエハ200間の間隔P2より十分小さく且つ所定の潰し量の変動よりも大きく設定される。また、凸部204bは、ボート217を反応管203内に収容した状態において天板211の開口に挿入されるように設けられ、天板211よりも、ボート217の最も天板211寄りに配置されるウエハ200に対して接近するよう構成されている。
 上述したように構成することにより、ボート217の天板211がインナー管204の凸部204bの周囲であって、凹部204c内に、ボート217の上昇や回転を可能な程度の狭い隙間を形成し、ボート217の上部における余剰ガス空間を小さくすることができる。
 このようにボート217の上部における余剰ガス空間が小さくされることで、ボート217に上下方向に配列されたウエハ200に供給される処理ガスの供給量のばらつきが抑制され、ボート217の上下方向に配列されたウエハ200に供給される処理ガスの分圧を同等にすることができる。すなわち、大表面積のプロダクト基板等のウエハの面間均一性を向上させることができる。
 また、ボート217の下方であって断熱板216が積載される断熱領域の上にカバー400を設けることにより、ボート217の下部における余剰ガス空間を小さくすることができ、ウエハの面間均一性を向上させることができ、またサイドダミー基板が不要となる。
 また、ボート217がインナー管204内に収容された状態で、図6(B)に示すように、高さHは、インナー管204の天井204aの凹部204cの底面からボート217の天板211の上面までの間の間隔A1と、天板211の高さ方向の厚みA2の合計よりも大きくなるよう構成されている。また、インナー管204の凸部204bの側面から天板211の内周面までの長さB1と、天板211の外周面からインナー管204の内周面までの長さB2と、が略等しくなるよう構成されている。また、インナー管204の天井204aの凹部204cの底面からボート217の天板211の上面までの間の間隔A1は、B1およびB2のどちらよりも小さくなるよう構成されている。つまり間隔A1は、ボート217の寸法精度やOリング220aの潰し量変動に対するマージンであるため、比較的小さくできる。なお、上述の間隔P1はOリング220aの潰し量によって変化するが、通常、この変動はわずかであり無視できる。もし、最も天板寄りに配置される基板での膜質が安定しない場合は、その基板はダミー基板とする。プロダクト基板よりも表面積の小さいウエハをダミー基板として用いる場合、間隔P1を間隔P2よりも小さくし、例えば間隔A1と同程度とすれば、このダミー基板の上方に生じる余剰ガス空間を小さくすることができる。
(4)変形例
 次に、本実施形態における処理炉202の変形例を、図7、図8を用いて説明する。
 図7の変形例は、上述した本実施形態におけるインナー管204の天井204aと形状が異なる。本変形例では、上述したインナー管204と異なる構成のみ説明する。
 変形例に係るインナー管304は、上端が閉塞し、ウエハ200を積載して配列させる方向の端においてインナー管304を終端する天井304aを有する。
 天井304aは、上面が円筒形状に内側に窪み、天井304aの内面側が内側に向かって円筒形状に突出する突出部としての凸部304bを有する。凸部304bは、先端が平坦の円筒形状である。凸部304bの周囲であって、インナー管304の外周面と凸部304bとの間には、凹部304cが形成されている。凸部304bの外径は、ボート217の天板211の開口よりも小さく、言い換えれば、天板211の内径よりも小さい。また、凹部304cの内径は、天板211の内径よりも小さい。また、凹部304cの外径は、天板211の外径よりも大きく構成されている。すなわち、インナー管304の天井304aの内面は、天板211の形状に対応した形状であって、ボート217がインナー管304内に収容された際に、凹部304c内に天板211が挿入されて、凹部304c内に配置されるように構成されている。すなわち、上述したインナー管204の上面が平坦状の天井204aに対して、変形例に係るインナー管304の天井304aの上面は、中央が窪んで内側に平坦状に突出している。
 凸部304bは、図7に示すように、ボート217が反応管203内に収容された状態において天板211の開口に挿入されるような位置に設けられている。つまり、凸部304bは、ボート217を反応管203内に収容した状態において天板211の開口に挿入されるように設けられ、天板211よりも、ボート217の最も天板211寄りに配置されるウエハ200に対して接近するよう構成されている。凸部304bや凹部304cの角は、上述した本実施形態と同じ理由により、意図的な面取りをせずに角ばらせて形成することができる。また天井304aの肉厚は、製作の難しさやコストを別にすれば、インナー管304の他の部分とほぼ同じ厚さにまで薄くできる。
 本変形例の天井304aのように、天井304aの上面を窪み状にして内側に突出する凸部304bを形成し、天井304aの厚みを薄くすることにより、上述した本実施形態に係る天井204aと比較して熱容量を少なくすることができ、ヒータ206からの熱を処理室201内に伝わりやすくすることができる。
 また、上述した本実施形態に係る天井204aのように構成することにより、変形例に係る天井304aと比較して熱容量を多くして温度緩衝効果を得るようにすることができる。
 なお、上述した本実施形態に係る天井204aや変形例に係る天井304aを構成する石英を不透明化する等により、透過率や熱伝導率を異なるようにして、ヒータ206からの熱を処理室201内に伝わりにくく、或いは熱容量を小さくすることもできる。
 図8の変形例は、上述した本実施形態における、インナー管204とアウター管205とからなる2重管構造の反応管203にかえて、1重管構造の反応管503を備える。反応管503の天井503aには、天井204aと同様の凸形状で突出部としての凸部503bが形成され、ボート217の天板211の開口に嵌合する。つまり、凸部503bは、ボート217を反応管503内に収容した状態において天板211の開口に挿入されるように設けられ、天板211よりも、ボート217の最も天板211寄りに配置されるウエハ200に対して接近するよう構成されている。
(5)シミュレーション
 以下、本実施形態を比較例との対比を通じて説明する。
 図2に示すような本実施形態に係る処理炉202を用いて上述した半導体装置の製造方法によりベアウエハの200倍の大面積のプロダクト基板としてのウエハ200に対して基板処理を行った場合(以下において本実施例とする)と、凸部204bや天板211の開口を備えない点でのみ異なる比較例に係る処理炉を用いて上述した半導体装置の製造方法によりプロダクト基板としてのウエハ200に対して基板処理を行った場合とを比較した。
 比較例に係る処理炉には、インナー管の天井の内面側が平坦形状で突出部204bが設けられていない。また、ボートの天板が円板形状で開口が形成されていない。また、ボートには、プロダクト基板としてのウエハ200の配列方向の上下端に複数枚のダミー基板が積載されている。つまり、ボートの下部にカバー400が設けられていない。
 図9(A)は、比較例に係る処理炉内のSiClガス供給時におけるSiClガスの分解生成物であるSiClの分圧分布を示す図であり、図9(B)は、本実施例に係る処理炉202内のSiClガス供給時におけるSiClガスの分解生成物であるSiClの分圧分布を示す図である。
 図9(A)及び図9(B)において、それぞれ左方からSiClガスが供給されている様子が示されている。図9(A)に示すように、比較例に係る処理炉内では、処理炉の上方(天井付近)でSiClガスが高濃度のままウエハ上に供給されている。一方、図9(B)に示すように、本実施例に係る処理炉202内では、比較例に係る処理炉を用いた場合と比べて、処理炉202の上方(天井付近)におけるSiClガスの濃度が緩和され、ウエハ間のSiClガスの濃度差が緩和されて、ウエハの配列方向においてSiClの分圧分布が同等となっていることが確認された。
 図10(A)は、各スロット番号におけるウエハ上のSiCl分圧の平均値を評価したウエハ面間均一性を示す図である。図10(B)は、各スロット番号におけるウエハ中心とウエハ外周の差を平均値で割った数値を比較したウエハ面内均一性を示す図である。スロット番号は、数値が大きいほどボート217の上方に配置されているウエハであることを意味する。
 図10(A)に示すように、比較例に係る処理炉を用いてウエハ上にSiN膜を形成した場合には、ボートの上段と下段において、中段におけるウエハ上と比較してSiCl分圧が高くなった。すなわち、上下段におけるウエハに形成されるSiN膜の膜厚が、中段におけるウエハに形成されるSiN膜の膜厚と比較して厚く形成されてしまうことが確認された。また、SiCl分圧の最大値と最小値との差が0.242であった。
 これに対して、本実施例に係る処理炉202を用いてウエハ上にSiN膜を形成した場合には、上述の比較例に係る処理炉を用いた場合と比較して、ボート217の上段におけるSiCl分圧が低くなり、ばらつきが改善されていることが確認された。すなわち、上段におけるウエハに形成されるSiN膜の膜厚が、中段におけるウエハに形成されるSiN膜の膜厚と同等となることが確認された。また、SiCl分圧の最大値と最小値との差が0.131となり、比較例におけるSiCl分圧の最大値と最小値との差である0.242の半分となった。すなわち、比較例に係る処理炉を用いた場合と比較して面間均一性が改善されたことが確認された。
 また、図10(B)に示すように、比較例に係る処理炉を用いてウエハ上にSiN膜を形成した場合には、ボートの上段と下段において、中段と比較して面内均一性が悪く、ボートの高さ方向においてばらつきがあることが確認された。
 これに対して、本実施例に係る処理炉202を用いてウエハ上にSiN膜を形成した場合には、上述の比較例に係る処理炉を用いた場合と比較して、ボート217の上段における面内均一性が改善され、ボート217の高さ方向においてばらつきが改善されたことが確認された。
 ここで、比較例に係る処理炉の場合、インナー管の天井の内面とボートの天板との間や、ボートの天板とダミー基板との間や、ダミー基板間に、余剰ガスが消費されずに溜まってしまう。そして、消費されずに溜まったガスはプロダクト基板が載置されている領域に侵入する。このため、ボートの天板やダミー基板の配置位置に近いプロダクト基板と、ボートの天板やダミー基板の配置位置に遠いプロダクト基板とでは、処理ガスの供給量が異なってしまうため、形成される膜の膜厚も異なってしまう。すなわち、面間面内均一性が悪化してしまう。
 これに対して、本実施形態に係る処理炉202では、ボート217の上方での余剰ガス空間を狭くすることで、余剰ガス空間におけるガスの容量を比較例に係る処理炉と比較して68%程度低減できることが確認された。これにより、ウエハの積載方向においてSiCl分圧を同等とすることができ、比較例に係る処理炉と比較して面間均一性と面内均一性が改善されることが確認された。
 上述の実施形態は、下記のような効果を奏する。すなわち、処理ガスの消費量が少ないモニタ基板やダミー基板上や、ボート217の天板211と反応管203の内面との間の隙間において発生する、余剰ガスを削減し、余剰ガスが、プロダクト基板が載置される領域へ侵入する量が減る。このため、モニタ基板やダミー基板が載置される領域や基板保持具の天板に近い領域に載置されたプロダクト基板が、モニタ基板やダミー基板が載置される領域やボート217の天板211から遠い領域に載置されたプロダクト基板と比較して、処理ガスの供給量が多くなり、形成される膜の膜厚が厚くなることを防止できる。すなわち、面間均一性を改善できる。余剰ガスはウエハ200の周囲(端部側)から供給されるため、ウエハ200の端部に形成される膜が相対的に厚くなる、面内均一性の悪化も防ぐことができる。
 なお、本開示を特定の実施形態について詳細に説明したが、本開示は係る実施形態に限定されるものではなく、本開示の範囲内にて他の種々の実施形態をとることが可能であることは当業者にとって明らかである。
  101 基板処理装置、
  203、503 反応管
  204、304 インナー管
  204a、304a、503a 天井
  204b、304b、503b 凸部(突出部の一例)
  204c、304c、503c 凹部
  205 アウター管
  200 ウエハ(基板の一例)
  201 処理室
  210 底板
  211 天板
  217 ボート(基板保持具の一例)
  400 カバー

Claims (13)

  1.  基板を配列させて保持する基板保持具と、
     内部に前記基板保持具を収容する反応管と、を備え、
     前記基板保持具は、
     配列される前記基板の周囲において前記基板と略垂直な方向にそれぞれ伸びる複数の柱と、前記複数の柱のそれぞれの一端を互いに固定し、中心に開口を有する天板と、前記複数の柱のそれぞれの他端を互いに固定する底板と、を有し、
     前記反応管は、前記開口の形状に対応した形状で内側に向かって突出する先端が平坦な突出部を有し、
     前記突出部は、前記基板保持具を前記反応管に収容した状態において前記開口に挿入されるように設けられ、前記天板よりも、前記基板保持具の最も天板寄りに配置される基板に対して接近するよう構成される基板処理装置。
  2.  前記突出部の高さは、前記突出部と、最も天板寄りに前記基板保持具に配置される前記基板との間の間隔が、前記基板保持具で互いに隣接する基板間の間隔と略等しくなるように設定される請求項1に記載の基板処理装置。
  3.  前記反応管は、前記基板保持具を収容する内管と、耐圧構造を有し前記内管を収容する外管と、を有し、
     前記内管は、上部を終端する天井を更に有し、前記突出部は前記天井に設けられる請求項1又は2に記載の基板処理装置。
  4.  前記基板の配列方向と平行に延びて、配列された前記基板のそれぞれにガスを供給するノズルと、を更に備え、前記内管は、側面において外側に膨らんで形成され、その内部に前記ノズルを収容する膨らみ部を更に有する請求項3に記載の基板処理装置。
  5.  前記基板保持具を回転可能に支持する回転軸を更に備え、
     前記開口及び前記突出部は、前記回転軸と同心の円形に形成される請求項1に記載の基板処理装置。
  6.  前記基板保持具における基板の配列位置の内、最も底板に近い配列位置を含む複数の配列位置を、上面及び側面から包囲するカバーを更に備え、
     前記基板保持具は、前記カバーに包囲された前記複数の配列位置で、製品基板及びモニタ基板を保持することなく、前記カバーと前記天板の間の複数の配列位置で、複数の製品基板又はモニタ基板を保持するように構成される請求項1記載の基板処理装置。
  7.  前記基板保持具における基板の配列位置の内、最も底板に近い配列位置を含む複数の配列位置を、上面及び側面から包囲するカバーを更に備え、
     前記ノズルは、前記カバーに包囲された前記複数の配列位置に対応する位置にガス供給口を有することなく、前記カバーと前記天板の間の複数の配列位置で保持される複数の製品基板又はモニタ基板に対応する位置にガス供給口を有する請求項4に記載の基板処理装置。
  8.  前記内管の天井の内面全体が、前記基板保持具の天板の形状に沿って形成される請求項3に記載の基板処理装置。
  9.  前記反応管が構成する処理容器に前記基板保持具を出し入れする開口を塞ぐ蓋と、
     前記蓋に設けられ、前記反応管で前記基板保持具を保持する回転機構と、
     前記反応管と前記蓋を直接接触させることなく、前記反応管と前記蓋との間を密封するシール部材と、を有し、
     前記突出部の高さは、前記シール部材が密封することができる所定の潰し量となったときに、前記突出部と、最も天板寄りに配置される前記基板との間の間隔が、前記基板保持具内で互いに隣接する基板間の間隔と略等しくなるように設定される請求項1又は5に記載の基板処理装置。
  10.  前記反応管が構成する処理容器に前記基板保持具を出し入れする開口を塞ぐ蓋と、
     前記蓋に設けられ、前記反応管で前記基板保持具を保持する回転機構と、
     前記反応管と前記蓋を直接接触させることなく、前記反応管と前記蓋との間を密封するシール部材と、を有し、
     前記突出部の高さは、前記シール部材が密封することができる所定の潰し量となったときに、前記突出部と、最も天板寄りに配置されるダミー基板との間の間隔が、前記基板保持具内で互いに隣接する基板間の間隔より十分小さく且つ前記所定の潰し量の変動よりも大きく設定される請求項1に記載の基板処理装置。
  11.  前記基板保持具は、前記最も天板寄りの配置位置を除く、前記カバーと前記天板の間の複数の配列位置で、前記複数の製品基板又はモニタ基板を保持するように構成される請求項6に記載の基板処理装置。
  12.  基板を配列させて保持しつつ、前記基板の周囲において前記基板と略垂直な方向にそれぞれ伸びる、複数の柱と、前記複数の柱のそれぞれの一端を互いに固定し、中心に開口を有する天板と、前記複数の柱のそれぞれの他端を互いに固定する底板と、を有する基板保持具を、前記開口の形状に対応した形状で内側に向かって突出する先端が平坦な突出部を有する反応管の内部に収容する工程と、
     前記反応管の内部において前記基板を処理する工程と、を有し、
     前記反応管の内部に収容する工程では、
     前記突出部が、前記開口に挿入され、前記天板よりも、前記基板保持具の最も天板寄りに配置される基板に対して接近させる
    半導体装置の製造方法。
  13.  基板を配列させて保持しつつ、前記基板の周囲において前記基板と略垂直な方向にそれぞれ伸びる、複数の柱と、前記複数の柱のそれぞれの一端を互いに固定し、中心に開口を有する天板と、前記複数の柱のそれぞれの他端を互いに固定する底板と、を有する基板保持具を、前記開口の形状に対応した形状で内側に向かって突出する先端が平坦な突出部を有する反応管の内部に収容する手順と、
     前記反応管の内部において前記基板を処理する手順と、を基板処理装置のコンピュータに実行させるプログラムであって、
     前記反応管の内部に収容する手順では、
     前記突出部が、前記開口に挿入され、前記天板よりも、前記基板保持具の最も天板寄りに配置される基板に対して接近させるように制御するプログラム。
     
PCT/JP2020/003022 2020-01-28 2020-01-28 基板処理装置、半導体装置の製造方法及びプログラム WO2021152705A1 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020227017809A KR20220088920A (ko) 2020-01-28 2020-01-28 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 프로그램
CN202080082498.4A CN114762092A (zh) 2020-01-28 2020-01-28 基板处理装置、半导体装置的制造方法及程序
JP2021573671A JP7308299B2 (ja) 2020-01-28 2020-01-28 基板処理装置、半導体装置の製造方法、プログラム及び反応管
PCT/JP2020/003022 WO2021152705A1 (ja) 2020-01-28 2020-01-28 基板処理装置、半導体装置の製造方法及びプログラム
TW109145234A TWI769629B (zh) 2020-01-28 2020-12-21 基板處理裝置、半導體裝置之製造方法及程式
US17/825,393 US20220301865A1 (en) 2020-01-28 2022-05-26 Substrate processing apparatus, reaction tube, method of manufacturing semiconductor device, and recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2020/003022 WO2021152705A1 (ja) 2020-01-28 2020-01-28 基板処理装置、半導体装置の製造方法及びプログラム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/825,393 Continuation-In-Part US20220301865A1 (en) 2020-01-28 2022-05-26 Substrate processing apparatus, reaction tube, method of manufacturing semiconductor device, and recording medium

Publications (1)

Publication Number Publication Date
WO2021152705A1 true WO2021152705A1 (ja) 2021-08-05

Family

ID=77078067

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2020/003022 WO2021152705A1 (ja) 2020-01-28 2020-01-28 基板処理装置、半導体装置の製造方法及びプログラム

Country Status (6)

Country Link
US (1) US20220301865A1 (ja)
JP (1) JP7308299B2 (ja)
KR (1) KR20220088920A (ja)
CN (1) CN114762092A (ja)
TW (1) TWI769629B (ja)
WO (1) WO2021152705A1 (ja)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11501160A (ja) * 1995-03-03 1999-01-26 シリコン・バリー・グループ・インコーポレーテッド 熱処理装置及びプロセス
JP2002261028A (ja) * 2001-03-02 2002-09-13 Ftl:Kk 半導体装置の製造用基板載置治具と縦型炉の組合わせ、基板載置治具、及び半導体装置の製造方法
JP2010034406A (ja) * 2008-07-30 2010-02-12 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012195562A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 異径基板用アタッチメントおよび基板処理装置ならびに基板若しくは半導体デバイスの製造方法
WO2016046947A1 (ja) * 2014-09-25 2016-03-31 株式会社日立国際電気 基板保持具、基板処理装置および半導体装置の製造方法
JP2018160513A (ja) * 2017-03-22 2018-10-11 特許機器株式会社 ウエハ収容装置

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190109216A (ko) 2018-03-15 2019-09-25 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11501160A (ja) * 1995-03-03 1999-01-26 シリコン・バリー・グループ・インコーポレーテッド 熱処理装置及びプロセス
JP2002261028A (ja) * 2001-03-02 2002-09-13 Ftl:Kk 半導体装置の製造用基板載置治具と縦型炉の組合わせ、基板載置治具、及び半導体装置の製造方法
JP2010034406A (ja) * 2008-07-30 2010-02-12 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012195562A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 異径基板用アタッチメントおよび基板処理装置ならびに基板若しくは半導体デバイスの製造方法
WO2016046947A1 (ja) * 2014-09-25 2016-03-31 株式会社日立国際電気 基板保持具、基板処理装置および半導体装置の製造方法
JP2018160513A (ja) * 2017-03-22 2018-10-11 特許機器株式会社 ウエハ収容装置

Also Published As

Publication number Publication date
JP7308299B2 (ja) 2023-07-13
JPWO2021152705A1 (ja) 2021-08-05
TWI769629B (zh) 2022-07-01
US20220301865A1 (en) 2022-09-22
KR20220088920A (ko) 2022-06-28
TW202130853A (zh) 2021-08-16
CN114762092A (zh) 2022-07-15

Similar Documents

Publication Publication Date Title
JP4426518B2 (ja) 処理装置
JP6270575B2 (ja) 反応管、基板処理装置及び半導体装置の製造方法
JP4560575B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2012164736A (ja) 基板処理装置及び半導体装置の製造方法
JP5787488B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2008258595A (ja) 基板処理装置
JP7113862B2 (ja) 半導体装置の製造方法、基板処理方法、プログラム、および基板処理装置
JP2006286716A (ja) 半導体デバイスの製造方法
JP2012184499A (ja) 半導体装置の製造方法、基板処理装置、及び基板処理方法
JP2017022210A (ja) 基板処理装置
KR20200112696A (ko) 열 처리 장치 및 성막 방법
JP5303984B2 (ja) 成膜装置及び成膜方法
US20190271077A1 (en) Film deposition method and film deposition apparatus
JP2011132568A (ja) 半導体装置の製造方法および基板処理装置
WO2021152705A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US20220307137A1 (en) Reaction tube, substrate processing apparatus and method of manufacturing semiconductor device
JP6494495B2 (ja) 基板処理方法及び基板処理装置
JP2012136743A (ja) 基板処理装置
JP2005142355A (ja) 基板処理装置及び半導体装置の製造方法
WO2023037452A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP2006066557A (ja) 基板処理装置
JP7387685B2 (ja) 半導体装置の製造方法、基板処理方法、プログラム、および基板処理装置
US20240229229A9 (en) Method of processing substrate, method of manufacturing semiconductor device, recording medium, and substrate processing apparatus
US20240133026A1 (en) Method of processing substrate, method of manufacturing semiconductor device, recording medium, and substrate processing apparatus
WO2024069767A1 (ja) 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20917222

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2021573671

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20227017809

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20917222

Country of ref document: EP

Kind code of ref document: A1