WO2020146030A1 - Procédés d'hydrogénation et de nitridisation pour modifier une épaisseur d'oxyde efficace d'un film - Google Patents

Procédés d'hydrogénation et de nitridisation pour modifier une épaisseur d'oxyde efficace d'un film Download PDF

Info

Publication number
WO2020146030A1
WO2020146030A1 PCT/US2019/056894 US2019056894W WO2020146030A1 WO 2020146030 A1 WO2020146030 A1 WO 2020146030A1 US 2019056894 W US2019056894 W US 2019056894W WO 2020146030 A1 WO2020146030 A1 WO 2020146030A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
plasma
species
metal nitride
hydrogenation
Prior art date
Application number
PCT/US2019/056894
Other languages
English (en)
Inventor
Houda Graoui
Johanes S. Swenberg
Wei Liu
Shashank Sharma
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/244,051 external-priority patent/US10510545B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020217024647A priority Critical patent/KR102553773B1/ko
Priority to KR1020237022826A priority patent/KR20230120647A/ko
Priority to CN201980088031.8A priority patent/CN113396470A/zh
Priority to JP2021540083A priority patent/JP7439101B2/ja
Publication of WO2020146030A1 publication Critical patent/WO2020146030A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Definitions

  • Embodiments described herein generally relate to a method and apparatus for processing semiconductor substrates, and more particularly, to hydrogenation and nitridization processes modifying effective oxide thickness of a film.
  • MOSFETs metal oxide semiconductor field effect transistors
  • One approach for reducing the size of a MOSFET is scaling, in which important device dimensions are reduced proportionally, such as transistor length, transistor width, and oxide (or dielectric) thickness.
  • transistor channel resistance does not change as transistor size is reduced, while gate capacitance and RC delay of the transistor decrease proportionally with the size reduction.
  • Embodiments described herein generally relate to sequential hydrogenation and nitridization processes for reducing interfacial and bulk O atoms in a conductive structure in a semiconductor device.
  • a method of forming a structure in a semiconductor device including depositing a high-k dielectric layer on a semiconductor substrate, depositing a capping layer on the high-k dielectric layer to form a portion of the structure, the deposited capping layer has an exposed surface, and exposing the exposed surface to a plasma-excited hydrogen species and a plasma-excited nitrogen species.
  • the portion of the substrate includes the capping layer and the high-k dielectric.
  • a method of forming a structure in a semiconductor device includes depositing a high-k dielectric layer on a semiconductor substrate, depositing a metal nitride layer on the high-k metal dielectric layer to form a portion of the structure, wherein the portion includes the metal nitride layer and the high-k metal dielectric layer and has a first effective oxide thickness, and wherein the deposited metal nitride layer has an exposed surface, sequentially exposing the exposed surface to a non oxidizing plasma-excited hydrogen species followed by plasma-excited nitrogen species to reduce the first effective oxide thickness to a second effective oxide thickness.
  • a method of forming a structure in a semiconductor device includes depositing a high-k dielectric layer on a semiconductor substrate, depositing on the high-k metal dielectric layer a metal nitride layer, sequentially exposing the exposed surface to a plasma- excited hydrogen species followed by plasma-excited nitrogen species, after sequentially exposing the exposed surface to the plasma-excited hydrogen species followed by the plasma-excited nitrogen species, exposing the exposed surface to air, and after exposing the exposed surface to air, performing a thermal anneal process on the high-k dielectric layer and the metal nitride layer for a particular time and at a particular temperature.
  • a method of forming a structure in a semiconductor device includes depositing a high-k dielectric layer on a semiconductor substrate, depositing a metal nitride layer on the high-k metal dielectric layer to form a portion of the structure, wherein the portion includes the metal nitride layer and the high-k metal dielectric layer and has a first effective oxide thickness, and wherein the deposited metal nitride layer has an exposed surface, reducing the first effective oxide thickness to a second effective oxide thickness by sequentially exposing the exposed surface to a non-oxidizing plasma-excited hydrogen species followed by plasma-excited nitrogen species.
  • a method of forming a structure in a semiconductor device including depositing a high-k dielectric layer on a semiconductor substrate, depositing on the high-k metal dielectric layer a capping layer, exposing an exposed surface of the capping layer to a plasma-excited hydrogen species and a plasma-excited nitrogen species, exposing the exposed surface to air, and performing a thermal anneal process on the high-k dielectric layer and the capping layer for a particular time and at a particular temperature.
  • a method of forming a structure in a semiconductor device including depositing a high-k dielectric layer on a semiconductor substrate, depositing a capping layer on the high-k dielectric layer to form a portion of the structure, wherein the deposited capping layer has an exposed surface, and exposing the exposed surface to a plasma-excited hydrogen species and a plasma-excited nitrogen species, wherein the plasma-excited hydrogen species includes ammonia, and the plasma-excited nitrogen species includes nitrogen gas (N2).
  • the portion of the structure includes the capping layer and the high-k dielectric.
  • a method of forming a structure in a semiconductor device including depositing a metal nitride capping layer on a high-k dielectric layer formed over a surface of a substrate, and exposing an exposed surface of the deposited metal nitride capping layer to a plasma that comprises a first gas that includes a hydrogen containing species and a second gas that includes a nitrogen containing species, wherein the hydrogen containing species in the first gas includes nitrogen.
  • a method of forming a structure in a semiconductor device including depositing a high-k dielectric layer on a semiconductor substrate, depositing on the high-k dielectric layer a capping layer, exposing an exposed surface of the capping layer to a plasma- excited hydrogen species and a plasma-excited nitrogen species, exposing the exposed surface to air, and performing a thermal anneal process on the high-k dielectric layer and the capping layer for a particular time and at a particular temperature.
  • a method of forming a structure in a semiconductor device including depositing a high-k dielectric layer on a semiconductor substrate, depositing a capping layer on the high-k dielectric layer to form a portion of the structure, wherein the portion includes the capping layer and the high-k dielectric layer, and wherein the deposited capping layer has an exposed surface, and exposing the exposed surface to a plasma-excited hydrogen species and a plasma-excited nitrogen species, wherein the plasma-excited hydrogen species includes ammonia, and the plasma-excited nitrogen species includes nitrogen gas (N2).
  • FIG. 1 illustrates a cross-sectional view of a contact structure formed on substrate as part of a semiconductor device, according to an embodiment of the disclosure.
  • FIGS. 2A-2E are schematic views of a metal nitride layer within the contact structure of FIG. 1 at various stages of the fabrication of the contact structure, according to an embodiment of the disclosure.
  • FIG. 3 is a graph of an X-ray Photoelectron Spectroscopy (XPS) spectrum 310 for a deposited and thermally annealed TiN film prior to treatment and an XPS spectrum 320 for the same deposited and thermally annealed TiN film after treatment, according to an embodiment of the disclosure.
  • XPS X-ray Photoelectron Spectroscopy
  • FIG. 4 is a cross-sectional side view of a processing chamber configured to implement one or more aspects of the present disclosure.
  • FIG. 5 is a top plan view of a multi-chamber processing system configured to implement one or more aspects of the present disclosure.
  • FIG. 6 sets forth a flow chart of process steps for reducing bulk and interfacial oxygen in a contact structure, according to some embodiments of the disclosure.
  • FIGS. 7A-7E are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 6, according to various embodiments of the disclosure.
  • FIG. 8 sets forth a flow chart of process steps for reducing bulk and interfacial oxygen in a contact structure, according to some embodiments of the disclosure.
  • FIG. 9 sets forth a flow chart of process steps for reducing bulk and interfacial oxygen in a contact structure, according to some embodiments of the disclosure.
  • FIG. 10 illustrates a cross-sectional view of metal gate structure formed according to an embodiment of the disclosure.
  • FIG. 11 sets forth a flow chart of process steps for reducing effective oxide thickness (EOT) in a metal gate structure, according to various embodiments of the disclosure.
  • EOT effective oxide thickness
  • FIGS. 12A-12J are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 11 , according to various embodiments of the disclosure.
  • FIG. 13 sets forth a flow chart of process steps for treating a metal gate structure with a single-step hydrogenation and nitridization process, according to various embodiments of the disclosure.
  • FIGS. 14A-14J are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 13, according to various embodiments of the disclosure.
  • Embodiments described herein generally relate to a method and apparatus for nitriding layers in a structure within a semiconductor device formed on a substrate.
  • a single-step plasma hydrogenation and nitridization process may be performed on a metal layer or stack of metal layers that are included in the conductive structure, for example metal layers that are thermally annealed prior to deposition of a metal capping layer.
  • the single-step plasma hydrogenation and nitridization process may be performed prior to the thermal anneal process, after the thermal anneal process, or both before and after the thermal anneal process.
  • nitrogen atom concentration in the conductive structure is beneficially increased, thereby decreasing electrical resistance in the conductive structure.
  • FIG. 1 One such conductive structure is illustrated in FIG. 1.
  • FIG. 1 illustrates a cross-sectional view of a conductive structure 100, or contact structure, formed on a semiconductor substrate 110 as part of a semiconductor device, according to an embodiment of the disclosure.
  • Conductive structure 100 may be any portion of a semiconductor device that is configured to conduct electrical current, and therefore benefits from reduced electrical resistance.
  • conductive structure 100 is depicted as a contact structure for providing electrical contact to a source or drain structure 101 , and is shown after conductive structure 100 has been formed and a planarization process, such as chemical-mechanical polishing (CMP), has been completed on semiconductor substrate 110.
  • CMP chemical-mechanical polishing
  • conductive structure 100 may be a contact structure for a field-effect transistor (FET).
  • FET field-effect transistor
  • Conductive structure 100 is disposed in a contact well 109, or aperture, which is a cavity formed in insulating material 120.
  • Insulating material 120 may include one or more dielectric materials, such as silicon dioxide (S1O2), silicon nitride (S13N4), or multiple layers thereof.
  • Insulating material 120 may be formed by high-density plasma (HDP), flowable chemical vapor deposition (FCVD), tetraethyl orthosilicate (TEOS), or the like.
  • HDP high-density plasma
  • FCVD flowable chemical vapor deposition
  • TEOS tetraethyl orthosilicate
  • Conductive structure 100 may include a stack of multiple metal layers, for example, a first metal layer 102, a metal nitride layer 103, and at least a conductive portion disposed over the first metal layer 102 and the metal nitride layer 103.
  • the conductive portion may include a capping layer 104 and/or a conductive layer 106.
  • Source or drain structure 101 may be formed from semiconductor substrate 110 or from a different semiconductor material that is deposited on semiconductor substrate 110.
  • the different semiconductor material may include silicon-germanium, a lll-V compound semiconductor material, or the like.
  • an epitaxial process may be performed to grow source or drain structure 101.
  • First metal layer 102 is formed on source or drain structure 101 , and includes one or more metals selected to form, after a suitable thermal anneal process, a silicide 105 at the interface with source or drain structure
  • first metal layer 102 includes titanium (Ti) or is wholly composed of Ti, and may have a thickness of about
  • Metal nitride layer 103 is formed on first metal layer 102, and includes a metal nitride, for example to act as a diffusion barrier layer in conductive structure 100.
  • metal nitride layer 103 includes titanium nitride (TiN), tantalum nitride (TaN), and/or tungsten nitride
  • Capping layer 104 is formed on metal nitride layer 103, typically after the thermal anneal process by which silicide 105 is formed in conductive structure 100, and includes one or more metals.
  • the conductive structure 100 can include a separately formed conductive layer 106, which may include a metal such as cobalt, copper, ruthenium, nickel, tungsten, aluminum, or other useful metal, or alloy thereof.
  • capping layer 104 includes Co, and may have a thickness of about 10 A to 20 A.
  • the capping layer 104 includes a metal (e.g., cobalt), which completely fills the remaining portion of the contact well 109.
  • first metal layer 102 and/or metal nitride layer 103 deleteriously affects the effective conductivity of conductive structure 100.
  • oxides in any metal layer increase the bulk electrical conductivity of the formed metal layer.
  • interfacial oxide i.e. , metal oxide formed at the interface between metal nitride layer 103 and capping layer 104, contributes to poor adhesion between metal nitride layer 103 and capping layer 104, potentially resulting in voids that significantly reduce the effective cross-sectional area of conductive structure 100.
  • low concentrations of O atoms are almost always present to some degree in the bulk portions of the metal layers of conductive structure 100.
  • oxides can form at higher concentrations on metal surfaces that are exposed to air between fabrication steps.
  • the presence of bulk and interfacial O atoms in conductive structure 100 can be reduced via a sequential hydrogenation and plasma nitridization process.
  • a physical model for how such a sequential process reduces bulk and interfacial O atoms in conductive structure 100 is illustrated in FIGS. 2A-E and 3A-D.
  • FIGS. 2A-2E are schematic views of metal nitride layer 103 within contact structure 100 at various stages of the fabrication of contact structure 100, according to an embodiment of the disclosure. It is noted that FIGS. 2A- 2E only illustrate one possible surface termination of metal nitride layer 103, and is merely representative of a typical TiN structure. In some embodiments, metal nitride layer 103 may have any other possible surface termination or crystalline structure associated with a TiN layer.
  • portion 200 of metal nitride layer 103 is schematically illustrated immediately after metal nitride layer 103 has been deposited on first metal layer 102, and prior to portion 200 being exposed to air.
  • Portion 200 includes a surface 201 of portion 200 that will ultimately have capping layer 104 deposited thereon.
  • portion 200 has an NaCI cubic structure, and is primarily made up of Ti and N atoms.
  • portion 200 includes a low concentration of bulk O atoms 211 (cross-hatched), typically disposed in a bulk region of portion 200 below surface 201. The bulk O atoms 211 may be incorporated by contamination found in the processing environment during the deposition process used to form portion 200.
  • portion 200 generally includes vacancies 213, which are sites within the crystal lattice of portion 200 where an atom is missing.
  • Vacancies 213 are locations at which additional oxidation within portion 200 may take place when nitride layer 103 is exposed to air. It is noted that when metal nitride layer 103 is formed by an atomic layer deposition (ALD) process, vacancies 213 are relatively common due to the film nucleation and growth mechanisms found in an ALD process versus a traditional chemical vapor deposition (CVD) or physical vapor deposition (PVD) process. Thus one or more of the embodiments of the disclosure provide herein may provide significant benefits when used on films formed by an ALD process versus conventional PVD or CVD type processes.
  • ALD atomic layer deposition
  • portion 200 is illustrated after being removed from the processing system that deposited metal nitride layer 103.
  • semiconductor substrate 110 on which portion 200 is formed may be exposed to air in preparation for a thermal anneal process.
  • conventional thermal processing chambers such as anneal process chambers, are performed in different processing systems from the processing systems that are used to form the first metal layer 102 and metal nitride layer 103, due to a difference in the required cleanliness, thermal management control and vacuum level requirements needed to form most advanced device nodes applications today.
  • portion 200 is illustrated after being exposed to air.
  • surface 201 has been partially oxidized, with surface O atoms 212 occupying most or all of the vacancies 213 disposed on surface 201. In some cases, some of the vacancies 213 disposed within portion 200 are occupied with bulk O atoms 211 as a result of exposure of portion 200 to air.
  • portion 200 is illustrated after undergoing a thermal anneal process to form silicide 105 as shown in FIG. 1.
  • Some or all of the remaining vacancies 213 are filled with bulk O atoms 211 or surface O atoms 212.
  • bulk O atoms 211 may also displace a portion of the N atoms disposed within portion 200.
  • the anneal process generally increases the number of both bulk O atoms 211 and surface O atoms 212 in portion 200.
  • the effect on resistivity of conductive structure 100 can be significant, particularly for smaller device structures, such as those associated with the advanced device nodes (e.g., 65 nm technology node and below).
  • portion 200 is illustrated after being exposed to hydrogen atoms that react with bulk O atoms 211 and/or surface O atoms 212 included in portion 200, according to various embodiments of the disclosure.
  • bulk O atoms 211 and/or surface O atoms 212 react with hydrogen atoms from thermally disassociated hydrogen gas (H2) as part of a thermal hydrogenation process
  • H2 thermally disassociated hydrogen gas
  • bulk O atoms 211 and/or surface O atoms 212 react with hydrogen atoms from a hydrogen-containing plasma as part of a plasma hydrogenation process.
  • the thermal hydrogenation process may be performed in a suitable rapid thermal processing chamber under certain processing conditions, including the heating of portion 200 to at least about 550 °C to about 650 °C.
  • the plasma hydrogenation process may be performed in a suitable plasma processing chamber under certain processing conditions.
  • An exemplary plasma processing chamber and plasma processing conditions are each described below for the plasma hydrogenation process.
  • the hydrogenation process reduces or otherwise removes all or substantially all of the surface 0 atoms 212 from surface 201 , leaving vacancies 213 behind.
  • the plasma hydrogenation process may also remove some or all bulk O atoms 211 disposed below surface 201.
  • portion 200 is illustrated after undergoing a plasma nitridization process, according to various embodiments of the disclosure.
  • the plasma nitridization process may be performed in a suitable plasma processing chamber under certain processing conditions, and an exemplary plasma processing chamber and plasma processing conditions are each described below for the plasma nitridization process.
  • the plasma nitridization process may be performed in the same plasma processing chamber that performs the plasma hydrogenation process.
  • no air break occurs between the plasma or thermal hydrogenation process and the plasma nitridization process. That is, portion 200 is not exposed to air after the plasma or thermal hydrogenation process and before the plasma nitridization process.
  • the nitridization process causes vacancies 213 to be filled with N atoms, so that surface 201 has very little or no surface O atoms 212 disposed thereon. Consequently, surface 201 may be saturated with N atoms and, as a result, subsequent oxidation of surface 201 is greatly reduced or eliminated, even when surface 201 is again exposed to air prior to deposition of capping layer 104. Therefore, adhesion between surface 201 of the metal nitride layer 103 and capping layer 104 is improved.
  • FIG. 3 is a graph of an X-ray Photoelectron Spectroscopy (XPS) spectrum 310 for a deposited and thermally annealed TiN film prior to treatment and an XPS spectrum 320 for the same deposited and thermally annealed TiN film after treatment, according to an embodiment of the disclosure.
  • the treatment includes a plasma or thermal hydrogenation process followed by a plasma nitridization process.
  • the thermal anneal process is a rapid thermal process in a nitrogen gas (N2) or ammonium (NH3) environment, at temperatures between about 550 °C and 600 °C.
  • the plasma hydrogenation process is performed in an inductively coupled plasma (ICP) chamber on a substrate pedestal at a temperature between about 340 °C and 500 °C, a process pressure of between about 10 mTorr and 150 mTorr, a plasma power of between about 250 W and 2000 W, a H2 flow rate of between about 5 seem and 100 seem, and an argon (Ar) flow rate of between about 250 seem and 2000 seem, for a duration of between about 30 seconds and about 200 seconds.
  • ICP inductively coupled plasma
  • the plasma nitridization process can be performed in the same ICP chamber, on a substrate pedestal at a temperature between about 350 °C and 500 °C, a process pressure of between about 10 mTorr and 100 mTorr, a plasma power of between about 250 W and 2000 W, an NH3 flow rate of between about 5 seem and 100 seem, a nitrogen (N2) flow rate of between about 300 seem and 500 seem, and an argon (Ar) flow rate of between about 20 seem and 500 seem, for a duration of between about 30 seconds and about 200 seconds.
  • an XPS spectrum of a TiN film may include multiple peaks, each indicating a different relative concentration of different titanium-containing materials.
  • a Ti-0 peak at a binding energy of approximately 458.5 eV generally indicates the presence of Ti-0 bonds and, therefore, the presence of O atoms in the titanium-containing material
  • a Ti-O-N peak at a binding energy of approximately 457 eV generally indicates the presence of Ti-O-N bonds and, therefore, the presence of N atoms and O atoms in the titanium-containing material
  • a Ti-N peak at a binding energy of approximately 454.9 eV generally indicates the presence of Ti-N bonds and, therefore, the presence of nitrogen (N) atoms in the titanium- containing material.
  • XPS spectrum 310 is associated with the Ti 2p shell for a deposited TiN film after the above-described thermal anneal process is performed thereon
  • XPS spectrum 320 is associated with the Ti 2p shell for the deposited and thermally annealed TiN film after undergoing the above- described plasma hydrogenation process followed by the above-described plasma nitridization process.
  • the peak indicating the presence of Ti-0 bonds and the peak indicating the presence of Ti-O-N bonds are significantly lower in XPS spectrum 320 than in XPS spectrum 310, clearly indicating a reduction in the presence of O atoms in the TiN film.
  • the peak indicating the presence of Ti-N bonds is significantly higher in XPS spectrum 320 than in XPS spectrum 310, clearly indicating an increase in the concentration of N atoms in the TiN film.
  • the concentration of O atoms in metal nitride film 103 can be significantly reduced and the concentration of N atoms in metal nitride film 103 can be significantly increased.
  • FIGS. 2A-2E and FIG. 3 illustrate the effect of post-anneal sequential hydrogenation and nitridization process on metal nitride layer 103.
  • employing a plasma or thermal hydrogenation process followed by a plasma nitridization process on portion 200 prior to a thermal anneal process can have similar beneficial effects.
  • surface 201 may be mostly or completely saturated with N atoms due to the plasma nitridization process (as shown in FIG. 2E)
  • the subsequent air exposure and thermal anneal of surface 201 results in very little or no oxidation.
  • the concentration of bulk O atoms 211 found in portion 200 and the concentration of surface O atoms 212 on surface 201 is not significantly increased.
  • FIG. 4 is a schematic cross sectional view of a plasma processing chamber 400 configured to implement one or more aspects of the present disclosure.
  • Plasma processing chamber 400 may be any suitable plasma processing chamber, such as an inductively coupled plasma (ICP) processing chamber.
  • processing chamber 400 may include a chamber wall 406, a chamber lid 408 and a substrate support pedestal 404 disposed within the chamber wall 406.
  • chamber wall 406 is coupled to an electrical ground 416.
  • Chamber lid 408 may be composed of any suitable dielectric, such as quartz.
  • dielectric lid 408 may assume a different shape (e.g., dome-shaped).
  • chamber lid 408 may be coated with a ceramic coating, such as an yttrium containing oxide, for protection from plasma species.
  • the ceramic coating is a high performance material (HPM) that is composed of a compound Y4AI2O9 and a solid solution Y2-xZr x 03 (Y203-Zr02 solid solution).
  • HPM high performance material
  • the ceramic coating may have a thickness ranging from about 100 microns to about 300 microns, such as about 200 microns.
  • a radio frequency (RF) antenna including at least one inductive coil element 410 may be disposed (two coaxial coil elements are shown).
  • inductive coil elements 410 may be disposed around at least a portion of chamber wall 406.
  • One end of inductive coil element 410 may be coupled, through a first impedance matching network 412, to an RF power source 414, and the other end may end may be connected to an electrical ground 417 as shown.
  • Power source 414 is typically capable of producing up to 10 kilowatts (kW) at a tunable frequency in a range from 2 to 160 MHz, with 13.56 MHz being a typical operating frequency.
  • the RF power supplied to inductive coil elements 410 may be pulsed (i.e.
  • Interposed between inductive coil elements 410 of the RF antenna and chamber lid 408 may be a shielding electrode 418.
  • Shielding electrode 418 Interposed between inductive coil elements 410 of the RF antenna and chamber lid 408 may be a shielding electrode 418. Shielding electrode
  • 418 may be alternately electrically floating or coupled to an electrical ground
  • any suitable means for making and breaking an electrical connection such as a switch 420 as illustrated in FIG. 4.
  • a detector 422 may be attached to chamber wall 406 to facilitate determining when a gas mixture within chamber 400 has been energized into plasma.
  • Detector 422 may, for example, detect the radiation emitted by the excited gases or use optical emission spectroscopy (OES) to measure the intensity of one or more wavelengths of light associated with the generated plasma.
  • OES optical emission spectroscopy
  • Pedestal 404 may be coupled, through a second impedance matching network 424, to a biasing power source 426.
  • Biasing power source 426 is generally capable of producing an RF signal having a tunable frequency ranging from 2 to 160 MFIz and power between 0 and 10 kW, similar to RF power source 414.
  • biasing power source 426 may be a direct current (DC) or pulsed DC source.
  • a substrate 428 such as a semiconductor substrate
  • process gases may be supplied from a gas panel 430 through entry ports 432 in an effort to form a gaseous mixture 434.
  • Typical process gases that may be used in one or more of the processes described herein are described below.
  • Entry ports 432 may be coated with the ceramic coating, such as FIPM.
  • Gaseous mixture 434 may be energized into a plasma 436 in processing chamber 400 by applying power from RF power source 414.
  • the pressure within the interior of processing chamber 400 may be controlled using a throttle valve 438 and a vacuum pump 440.
  • the temperature of chamber wall 406 may be controlled using liquid-containing conduits (not shown) that run through chamber wall 406 or heating elements embedded in chamber wall 406 (e.g., heating cartridges or coils) or wrapped around processing chamber 400 (e.g., heater wrap or tape).
  • liquid-containing conduits not shown
  • heating elements embedded in chamber wall 406 e.g., heating cartridges or coils
  • wrapped around processing chamber 400 e.g., heater wrap or tape
  • the temperature of substrate 428 may be controlled by stabilizing the temperature of pedestal 404.
  • helium (He) gas from a gas source 442 may be provided via a gas conduit 444 to channels (not shown) formed in the pedestal surface under substrate 428.
  • the helium gas may facilitate heat transfer between pedestal 404 and substrate 428.
  • pedestal 404 may be heated to a steady state temperature, and then the helium gas may facilitate uniform heating of the substrate 428.
  • Pedestal may be so heated by a heating element (not shown), such as a resistive heater embedded within pedestal 404, or a lamp generally aimed at pedestal 404 or substrate 428 when thereon.
  • substrate 428 may be maintained at a temperature between about 20 to 350 degrees Celsius (°C).
  • Controller 446 may comprise a central processing unit (CPU) 448, a memory 450, and support circuits 452 for CPU 448. Controller 446 may interface with RF power source 414, switch 420, detector 422, and biasing power source 426.
  • CPU central processing unit
  • Controller 446 may be any suitable type of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • Memory 450, or other computer- readable medium, for CPU 448 may be one or more of any readily available memory forms, such as random access memory (RAM), read only memory (ROM), a floppy disk, a hard disk, or any other form of digital storage, local or remote.
  • Support circuits 452 may be coupled to CPU 448 in an effort to support the processor in a conventional manner. These circuits may include cache, power supplies, clock circuits, input/output (I/O) circuitry and subsystems, and the like.
  • the techniques disclosed herein for energizing and maintaining a plasma may be stored in memory 450 as a software routine.
  • the software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by CPU 448.
  • a thermal or plasma hydrogenation process is followed by a plasma nitridization process, hereinafter referred to as a“sequential hydrogenation/nitridization process,” is performed on a substrate prior to and/or after a thermal anneal is performed on the substrate.
  • the sequential hydrogenation/nitridization process may include a capacitively coupled plasma process or an inductively coupled plasma process.
  • plasma for the hydrogenation/nitridization process may be formed in a remote plasma source outside of processing chamber 400, and in other embodiments, the plasma for the plasma process may be formed in-situ, i.e. , in processing chamber 400.
  • the hydrogenation and nitridization may be performed in the same step, hereinafter referred to as a “single-step plasma hydrogenation and nitridization process”.
  • plasma for the single-step plasma hydrogenation and nitridization process may be formed in a remote plasma source outside of processing chamber 400, and in other embodiments, the plasma for the plasma process may be formed in-situ, i.e., in processing chamber 400.
  • a processing environment within processing chamber 400 generally includes a relatively low lower concentration of O atoms due to the presence of H atoms, such as disassociated H atoms, H radicals, and/or H ions.
  • the processing environment within processing chamber 400 during the plasma hydrogenation process may include a lower concentration of O atoms than the processing environment within processing chamber 400 during the nitridization process or in a processing environment within a processing chamber during the deposition of a metal nitride layer.
  • a lower concentration of O atoms is generally advantages.
  • a processing chamber may be conditioned with a plasma process, such as an H2 process, prior to the plasma hydrogenation process and/or the nitrogenation process, to remove any traces of O species.
  • a metal nitride layer to be treated with the herein described hydrogenation/nitridization process or single-step plasma hydrogenation and nitridization process is a thin film with a thickness of about 200 A or less
  • an ICP process is generally less likely to damage the metal nitride layer, either during hydrogenation or nitridization.
  • the plasma sheath is typically smaller than that in a CCP chamber, and therefore ions traveling therethough typically have proportionally less energy, for example on the order of 10s of eV, such as 10 to 20 eV.
  • ions in a CCP chamber typically have energies on the order of 100s of eVs (e.g., >200- 400 eV), and consequently can create significant damage to the metal nitride layer.
  • an ICP process can provide more oxygen removal from a metal nitride layer than by use of a CCP or remote plasma process, due to the higher density of ions, radicals, and other plasma-excited species generally formed in the an ICP processing chamber and in proximity to the substrate versus CCP and remote plasma sources used in other types of processing chambers.
  • a concentration of radicals from CCP and remote plasma sources are relatively low.
  • the plasma may be formed via inductive coil elements 410, first impedance matching network 412, RF power source 414, and, in some embodiments, second impedance matching network 424 and biasing power source 426.
  • the plasma process may include the introduction of one or more process gases into processing chamber 400 that are selected to generate certain plasma species (i.e. , ions, neutral atoms, and/or radicals). More specifically, in the case of the plasma hydrogenation process, the one or more process gases are selected to generate plasma- excited hydrogen species, while in the case of the plasma nitridization process, the one or more process gases are selected to generate plasma- excited nitrogen species.
  • the one or more process gases may include hydrogen (H2), and/or D2, and for the plasma nitridization process, the one or more process gases may include nitrogen (N2) or ammonia (NH3).
  • the plasma process may include the introduction of one or more carrier and/or inert gases into processing chamber 400, such as argon (Ar).
  • the one or more process gases may include hydrogen (H2), D2, nitrogen (N2), ammonia (NH3), or hydrazine (N2FI4).
  • the plasma hydrogenation process primarily includes the formation of a plasma that includes a process gas that essentially consists of hydrogen (H2), which forms the reactive species provided from the plasma.
  • H2 hydrogen
  • the formation of hydrogen containing species using a plasma (e.g., inductively coupled plasma) that is formed using H2 will have significantly more hydrogen containing radicals and ions than a thermal hydrogenation process that uses a H2 containing process gas, thus improving the effectiveness of the plasma hydrogenation process and reducing unwanted reactions found when using non-pure hydrogen containing reactive gases.
  • the one or more process gases are energized by an RF power source, such as RF power source 414.
  • the RF power may be pulsed at between 2% to 70% duty cycle and may range from about 100 W to about 2500 W.
  • the RF power may be a continuous wave ranging from about 100 W to about 2500 W.
  • the process chamber may have a chamber pressure ranging from about 10 mTorr to about 200 mTorr during the plasma process, while the process temperature, for example the temperature of pedestal 404, may range from 20 °C to about 500 °C.
  • a plasma hydrogenation process is performed at a process temperature that is between about 400 °C and about 500 °C, a chamber pressure that is between about 5 mTorr and about 20 mTorr, an RF power that is between about 1000 W and about 2000 W, and a biasing voltage that is between about 175 V and about 250 V, with an H2 flow that is between about 20 seem and about 40 seem and an Ar flow that is between about 400 seem and about 500 seem for a period of time of between about 50 seconds and about 300 seconds.
  • Plasma-excited hydrogen species generated from the plasma inside process chamber 400 can reduce some or all oxides present on the exposed surface of a metal nitride layer (e.g., metal nitride layer 103) of a partially formed conductive structure (e.g., conductive structure 100).
  • the plasma-excited hydrogen species can also reduce some or all O atoms present in the bulk material of a metal nitride layer or other metal layers of the conductive structure, such as first metal layer 102 of conductive structure 100. Such reduction of O atoms is described above in conjunction with FIGS. 2D and 3B.
  • a plasma nitridization process is performed at a process temperature that is between about 400 °C and about 500 °C, a chamber pressure that is between about 5 mTorr and about 25 mTorr, an RF power that is between about 1000 W and about 2000 W, and a biasing voltage that is between about 175 V and about 250 V, with an NFta flow that is between about 20 seem and about 40 seem, an N2 flow that is between about 400 seem and about 600 seem, and an Ar flow that is between about 400 seem and about 500 seem for a period of time of between about 50 seconds and about 300 seconds.
  • Plasma-excited nitrogen species generated from the plasma inside process chamber 400 can saturate an exposed surface of a metal nitride layer (e.g., surface 201 of metal nitride layer 103) of a partially formed conductive structure.
  • the plasma- excited nitrogen species can also fill vacancies present in the bulk material of a metal nitride layer or other metal layers of the conductive structure. Such nitridization is described above in conjunction with FIGS. 2E and 3C.
  • the single-step plasma hydrogenation and nitridization process is performed for a duration of between about 30 seconds and about 150 seconds, at a chamber pressure of between about 10 mTorr and about 100 mTorr, at a processing temperature (such as substrate pedestal temperature) of between about 350 °C and about 500 °C, with an RF power of between about 300 W and about 2000 W, a flow rate of NFI3 of between about 5 seem and about 100 seem, a flow rate of N2 of between about 50 seem and about 1000 seem, a helium (Fie) flow rate of between about 1 to about 1000 seem, and a substrate bias is applied, with a frequency from about 2 MFIz to about 160 MFIz, and bias power between about 0 kW and about 10 kW.
  • a processing temperature such as substrate pedestal temperature
  • the single-step plasma hydrogenation and nitridization process is performed for a duration of between about 85 seconds and about 95 seconds, at a chamber pressure of between about 15 mTorr and about 25 mTorr, at a processing temperature of between about 350 °C and about 500 °C, with an RF power of between about 300 W and about 1600 W, a flow rate of NFI3 of between about 10 seem to about 40 seem, a flow rate of N2 of between about 200 seem to about 550 seem, a flow rate of Ar from about 200 seem to about 550 seem, and with no substrate bias power applied.
  • the plasma may be formed via any technically feasible remote plasma source.
  • the plasma process may include the introduction of one or more process gases into the remote plasma source that are selected to generate plasma-excited hydrogen species or plasma-excited nitrogen species.
  • the remote plasma process may include the introduction of one or more carrier and/or inert gases into the remote plasma source such as argon (Ar).
  • the remotely generated plasma species then flow into processing chamber 400, and process a metal nitride layer of a conductive structure formed on a substrate disposed in processing chamber 400.
  • the plasma species are plasma-excited hydrogen species or plasma- excited nitrogen species, interfacial and bulk O atoms in the metal nitride layer are reduced, or nitridization of the metal nitride layer is enhanced.
  • a thermal hydrogenation process may be employed to expose a metal nitride layer to hydrogen atoms.
  • the thermal hydrogenation process generally occurs at an elevated temperature, for example between about 500 °C and about 650 °C. At such elevated temperatures, H2 gas dissociates into individual atoms, which can then react with O atoms in metal nitride layer 103 and generate vacancies 213.
  • the thermal hydrogenation process is generally performed in a different processing chamber than processing chamber 400. For example, in some embodiments, the thermal hydrogenation process is performed in a rapid thermal processing chamber.
  • a salicidation process may be performed concurrently with the thermal hydrogenation process, thereby eliminating a subsequent anneal process.
  • the plasma nitridization process is performed without an air break that exposes metal nitride layer 103 to air.
  • one chamber of a multi-chamber processing system can be configured to perform the thermal hydrogenation process, and another chamber of the same multi-chamber processing system can be configured to perform the plasma nitridization process.
  • a substrate on which metal nitride layer 103 is formed can undergo the thermal hydrogenation process, then be directly transferred to the plasma nitridization chamber without exposure to air.
  • FIG. 5 is a top plan view of a multi-chamber processing system 500 configured to implement one or more aspects of the present disclosure.
  • Multi chamber processing system 500 is configured to perform one or more fabrication processes on individual substrates, such as silicon wafers, for forming semiconductor devices.
  • Multi-chamber processing system 500 includes some or all of a transfer chamber 506, a buffer chamber 508, single wafer load locks 510 and 512, processing chambers 514, 516, 518, 520, 522, and 524, preheating chambers 523 and 525, and robots 526 and 528.
  • Single wafer load locks 510 and 512 may include heating elements 513 and are attached to buffer chamber 508.
  • Processing chambers 514, 516, 518, and 520 are attached to transfer chamber 506.
  • Multi-chamber processing system 500 is controlled by a computer system 530.
  • Computer system 530 may be any device or combination of devices configured to implement the inventive operations provided herein.
  • computer system 530 may be a controller or array of controllers and/or a general purpose computer configured with software which, when executed, performs the inventive operations.
  • One example of a suitable multi-chamber processing system 500 is the Endura ® RTM CL System manufactured by Applied Materials, Inc. of Santa Clara, Calif.
  • Each of processing chambers 514, 516, 518, 520, 522, and 524 may be configured to perform one or more process steps in the fabrication of a conductive structure in a semiconductor device, such as a contact structure for a field-effect transistor (FET). More specifically, processing chambers 514, 516, 518, 520, 522, and 524 may include one or more metal deposition chambers, surface cleaning and preparation chambers, thermal anneal and/or thermal hydrogenation chambers, and plasma hydrogenation/nitridization chambers.
  • FET field-effect transistor
  • multi chamber processing system 500 may be configured to sequentially perform several process steps in the fabrication process of such a conductive structure.
  • processing chamber 514 may be configured to perform a surface cleaning and preparation process on an exposed surface of the silicon source or drain structure
  • processing chamber 516 may be configured to sequentially deposit Ti and TiN layers on the prepared silicon source or drain structure
  • processing chamber 522 and/or 524 may be configured to form a silicide by performing a rapid thermal processing (RTP) or other thermal anneal process on the Ti/TiN layers and source or drain structure
  • processing chamber 518 may be configured to deposit a Co capping layer on the annealed Ti/TiN layers
  • processing chamber 520 may be configured to perform a hydrogenation process followed by a nitridization process before or after the thermal anneal process.
  • the complete contact structure can be formed without an air break and the resulting unwanted oxidation of one or more layers of the contact structure.
  • multi-chamber processing system 500 may include metal deposition processing chambers, while the thermal anneal silicidation process may be performed on a different substrate processing system.
  • an air break occurs before the thermal anneal process, and it is known that such an air break can increase the presence of 0 atoms on an interfacial surface of a metal nitride layer and in the bulk material of the metal nitride layer of the contact structure.
  • multi chamber processing system 500 may be configured with both metal deposition chambers and one or more plasma processing chambers.
  • multi-chamber processing system 500 can be configured to perform a sequential hydrogenation/nitridization process or single-step plasma hydrogenation and nitridization process on a substrate after deposition of first metal layer 102 and metal nitride layer 103, but before the substrate is removed from multi-chamber processing system 500 and exposed to air.
  • the nitridization of the exposed surface of metal nitride layer 103 prior to an air break can greatly reduce oxidation of the exposed surface during the air break and during the subsequent thermal anneal process.
  • multi-chamber processing system 500 may include one or more thermal anneal and plasma processing chambers.
  • a sequential hydrogenation and nitrogenation process or a single-step plasma hydrogenation and nitridization process can be performed after the thermal anneal process, thereby removing O atoms introduced by a pre-anneal air break and by the thermal anneal process itself.
  • thermal annealing processes are not able to maintain desirably low oxygen levels required for most advanced device nodes, due to the high temperatures that the processing components (e.g., seals, process kit components, pumps, etc.) achieve during thermal processing.
  • a sequential hydrogenation/nitridization process or single-step plasma hydrogenation and nitridization process can be performed prior to the thermal anneal process.
  • interfacial 0 atoms and 0 atoms present in the bulk portion of a metal nitride layer can be reduced or eliminated prior to performing the thermal anneal process, even though an air break does not occurs after deposition of metal nitride layer 103 and prior to the thermal anneal process. Therefore, in some configurations, a sequential hydrogenation and plasma nitridization process or single-step plasma hydrogenation and nitridization process can be performed prior to a thermal anneal process and also after the thermal anneal process, but before an air break occurs.
  • multi-chamber processing system 500 may include one or more metal deposition chambers configured to deposit capping layer 104 and/or conductive layer 106 and one or more plasma processing chambers to perform a sequential hydrogenation and nitridization process or single-step plasma hydrogenation and nitridization process.
  • a sequential hydrogenation and nitridization process or single- step plasma hydrogenation and nitridization process can be performed prior to the deposition of a capping layer in a conductive structure, thereby removing interfacial and bulk O atoms introduced by air breaks and by the thermal anneal process for forming silicide 105.
  • FIG. 6 sets forth a flow chart of process steps for reducing bulk and interfacial oxygen in a contact structure, according to some embodiments of the disclosure.
  • FIGS. 7A-7E are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of Figure 6, according to various embodiments of the disclosure. While FIGS. 7A-7E illustrate first metal layer 102, metal nitride layer 103 and capping layer 104, which fill aperture 109, as being selectively deposited (e.g., layers are not conformally formed over the aperture 109 as shown in FIG.
  • first metal layer 102, metal nitride layer 103 and capping layer 104 could be formed selectively or non-selectively and include one or more additional layers.
  • a cleaning process or other surface preparation process may be performed on a surface of the semiconductor substrate on which a contact is to be formed, such as an exposed surface 701 of source or drain structure 101 in FIG. 7A.
  • a dry-etch process may be performed to remove native oxide on surface 701.
  • a conventional plasma etch, or a remote plasma-assisted dry etch process may be performed, such as a SiCoNiTM etch process, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • a surface of the semiconductor substrate on which a contact is to be formed is exposed to H2, NF3, and/or NFI3 plasma species, e.g., plasma-excited hydrogen and fluorine species.
  • H2, NF3, and/or NFI3 plasma species e.g., plasma-excited hydrogen and fluorine species.
  • a surface may undergo simultaneous exposure to H2, NF3, and NFI3 plasma.
  • the SiCoNiTM etch process may be performed in a SiCoNiTM Preclean chamber, which may be integrated into one of a variety of multi-processing platforms, including the ProducerTM GT, CenturaTM AP and Endura platform, all available from Applied Materials.
  • a method 600 begins at step 601 , in which first metal layer 102 and metal nitride layer 103 are deposited on the semiconductor substrate, as shown in FIG. 7B.
  • first metal layer 102 and metal nitride layer 103 are deposited on the semiconductor substrate, as shown in FIG. 7B.
  • a Ti layer followed by a TiN barrier layer are deposited.
  • Any suitable PVD, CVD, or ALD process may be employed to perform such deposition.
  • the deposition process may be a selective process or a non-selective deposition process.
  • first metal layer 102 and metal nitride layer 103 are deposited on surface 701 , but not on other surfaces of semiconductor substrate 110, whereas in a non-selective process, first metal layer 102 and metal nitride layer 103 may be deposited on all unmasked surfaces of semiconductor substrate 110.
  • the deposition of step 601 is performed without an air break after the above-described surface preparation process. That is, the semiconductor substrate is not exposed to atmosphere between the surface preparation process and the deposition of step 601.
  • the deposition of step 601 and the surface preparation process may each be performed by different chambers on the same multi-chamber processing system, such as multi-chamber processing system 500.
  • a thermal anneal process is performed on semiconductor substrate 110, including first metal layer 102, metal nitride layer 103, and source or drain structure 101.
  • the thermal anneal process forms silicide 105 as shown in FIG. 7C.
  • a spike anneal process that reaches a peak temperature that is between about 500 °C and about 600 °C may be performed in step 603.
  • any other suitable anneal process may instead be performed to form silicide 105 between source or drain structure 101 and first metal layer 102 deposited in step 601.
  • the chamber for performing step 603 may be configured as a chamber of the same multi-chamber processing system that performs the metal deposition of step 601.
  • the thermal anneal process of step 603 is performed without an air break after the metal deposition of step 601 , thereby further reducing interfacial O present on surface 702 of metal nitride layer 103.
  • a sequential hydrogenation/plasma nitridization process is performed on surface 702 of metal nitride layer 103.
  • a plasma hydrogenation process followed by a plasma nitridization process is performed in step 604.
  • the hydrogenation process is a plasma hydrogenation process
  • both the plasma hydrogenation process and the plasma nitridzation process may both be performed in processing chamber 400 and using process parameters described above in conjunction with FIG. 4.
  • the plasma hydrogen process may be performed in one of processing chambers 514, 516, 518, 520, 522, and 524 of multi chamber processing system 500, while the plasma nitridization process may be performed in another of processing chambers 514, 516, 518, 520, 522, and 524.
  • thermal hydrogenation process of step 604 is performed in one of processing chambers 514, 516, 518, 520, 522, and 524 of multi-chamber processing system 500, for example a rapid thermal processing chamber that is configured to use H2 gas as a process gas.
  • the plasma nitridization process is performed in another of processing chambers 514, 516, 518, 520, 522, and 524, such as a processing chamber similar to plasma processing chamber 400 in FIG. 4.
  • capping layer 104 is deposited on the annealed first metal layer 102 and metal nitride layer 103, as shown in FIG. 7E.
  • the metal capping layer is a Co layer or a layer of cobalt-containing alloy. Because interfacial O atoms that may be present on surface 702 of metal nitride layer 103 are removed during step 604, adhesion between capping layer 104 and metal nitride layer 103 is improved over the adhesion in contact structures formed via conventional techniques. Furthermore, the removal of O atoms within metal nitride layer 103 reduces the electrical resistivity of conductive structure 100.
  • steps 604 and 605 are performed on the same multi-chamber processing system, so that no air break occurs after the sequential hydrogenation and nitridization processes of step 604. Consequently, oxidation of metal nitride layer 103 that may occur during exposure to atmosphere is avoided.
  • the processing chamber for performing the sequential hydrogenation and nitridization processing of step 604 may be configured on a different multi-chamber processing system than the processing chamber for performing step 605. It is noted that in such embodiments, the nitridization process of step 604 thoroughly nitridizes the surface of metal nitride layer 103, thereby minimizing or otherwise preventing oxidation that may take place during the air break between steps 604 and 605.
  • FIG. 8 sets forth a flow chart of process steps for reducing bulk and interfacial oxygen in a contact structure, according to some embodiments of the disclosure.
  • a cleaning process or other surface preparation process may be performed as described above in conjunction with FIG. 7.
  • a method 800 begins at step 801 , in which metal layer 102 and metal nitride layer 103 are deposited on source or drain structure 101.
  • Step 801 may be substantially similar to step 601 in method 600.
  • step 802 a sequential hydrogenation/plasma nitridization process is performed on surface 702 of metal nitride layer 103. That is, surface 702 is exposed to hydrogen atoms and to plasma-excited nitrogen species.
  • Step 802 may be substantially similar to step 604 in method 600. However, it is noted that, unlike step 604, the sequential hydrogenation/plasma nitridization process of step 802 is performed prior to a thermal anneal process.
  • step 802 is performed in a chamber that is configured to be part of a multi-chamber processing system that includes a thermal anneal chamber for performing step 803, such as a rapid thermal processing chamber. In such embodiments, the effect of O atoms within first metal layer 102 and metal nitride layer 103 deposited in step 801 is further reduced, since such O atoms are removed prior to the anneal process of step 803.
  • a thermal anneal process is performed on semiconductor substrate 110, including first metal layer 102, metal nitride layer 103, and source or drain structure 101.
  • Step 803 may be substantially similar to step 603 in method 600.
  • a thermal anneal process is performed in step 802 and step 803 may be skipped.
  • the thermal anneal process by which silicide 105 is formed is performed in the same processing chamber as the thermal hydrogenation process of step 802.
  • the thermal anneal process may be performed concurrently with the thermal hydrogenation process, immediately prior to the thermal hydrogenation process, or immediately following the thermal hydrogenation process.
  • a plasma treatment process is performed on surface 702 of metal nitride layer 103.
  • Step 804 may be substantially similar to step 604 in method 600.
  • a sequential hydrogenation/nitridization process is performed before and after the thermal anneal process of step 803.
  • the sequential hydrogenation/nitridization process performed in step 804 is substantially the same as the plasma treatment process performed in step 802.
  • the sequential hydrogenation/nitridization process of step 804 may be different than the sequential hydrogenation/nitridization process of step 802.
  • the process parameters of the sequential hydrogenation/nitridization process employed in step 802 may be different than the process parameters of the sequential hydrogenation/nitridization employed in step 804.
  • step 805 a capping layer 104 and/or a conductive layer 106 are deposited on the annealed first metal layer 102 and metal nitride layer 103.
  • Step 805 may be substantially similar to step 605 in method 600.
  • steps 804 and 805 may be performed on the same multi chamber processing system, so that no air break occurs after the plasma treatment process of step 804. Consequently, oxidation of metal nitride layer 103 that may occur during exposure to air is avoided, and adhesion between capping layer 104 and metal nitride layer 103 is improved over the adhesion in contact structures formed via conventional techniques.
  • FIG. 9 sets forth a flow chart of process steps for reducing bulk and interfacial oxygen in a contact structure, according to some embodiments of the disclosure.
  • a cleaning process or other surface preparation process may be performed as described above in conjunction with method 600.
  • a method 900 begins at step 901 , in which first metal layer 102 and metal nitride layer 103 are deposited on source or drain structure 101.
  • Step 901 may be substantially similar to step 601 in method
  • step 902 a sequential hydrogenation/nitridization process is performed on surface 702 of metal nitride layer 103.
  • Step 902 may be substantially similar to step 802 in method 800.
  • step 903 a thermal anneal process is performed on semiconductor substrate 110, including first metal layer 102, metal nitride layer 103, and source or drain structure 101.
  • step 903 may be substantially similar to step 603 in method 600.
  • step 905 a capping layer 104 is deposited on the annealed first metal layer 102 and metal nitride layer 103.
  • Step 905 may be substantially similar to step 605 in method 600.
  • a sequential hydrogenation/nitridization process is performed prior to the thermal anneal process of step 903, but not after the thermal anneal process of step 903.
  • the sequential hydrogenation/nitridization process generally includes a plasma or thermal hydrogenation process and a plasma nitridization process.
  • methods 600 and 800 are described for forming a contact structure on a substrate, methods 600 and 800 may be employed to form other conductive structures on a substrate as well. Thus, any conductive structure that includes a metal nitride layer may benefit from being formed by method 600 or 800.
  • a sequential hydrogenation and nitridization process is employed in the fabrication of a high-k dielectric/metal gate stack to reduce the effective oxide thickness (EOT) of the stack.
  • EOT effective oxide thickness
  • an EOT of the stack is reduced without the concomitant trade-off in increased leakage and flatband voltage shift that are known to occur when the high-k dielectric layer in the stack is simply reduced in thickness or otherwise scaled down via conventional techniques.
  • FIG. 10 One such stack is illustrated in FIG. 10.
  • FIG. 10 illustrates a cross-sectional view of a metal gate structure 1000 formed according to an embodiment of the disclosure.
  • Metal gate structure 1000 is formed on a semiconductor substrate 1001 as part of a semiconductor device, such as a MOSFET or other FET.
  • Metal gate structure 1000 is a stack of multiple material layers formed on a semiconductor substrate 1001 and includes, for example, an interfacial layer 1002 disposed on semiconductor substrate 1001 , a high-k dielectric layer 1003 disposed on interface layer 1002, a metal nitride capping layer 1004 disposed on high-k dielectric layer 1003, and a metal gate electrode layer 1005 disposed on metal nitride capping layer 1004.
  • the various layers of metal gate structure 1000 are depicted as a simple film stack formed on semiconductor substrate 1001. In practice, metal gate structure
  • interfacial layer 1002, high-k dielectric layer 1003, metal nitride capping layer 1004, and metal gate electrode layer 1005 may be material layers that are conformally deposited within such a cavity.
  • Semiconductor substrate 1001 may be any suitable semiconductor substrate on which metal gate structure 1000 can be formed.
  • semiconductor substrate 1001 may be formed from any suitable semiconductor material including, but not limited to Si (Si), Ge (germanium), silicon-germanium (Si-Ge), silicon-germanium-carbon (SiGeC), gallium (Ga), gallium arsenide (GaAs), indium arsenide (InAs), indium phosphide (InP), and all other IIIL/ or IIL/I compound semiconductors.
  • semiconductor substrate 1001 may be a layered semiconductor such as, for example, Si/Si-Ge, a semiconductor-on-insulator (SOI) or a Si-Ge-on-insulator (SiGOI). Furthermore, in some embodiments, semiconductor substrate 1001 includes doped and/or undoped regions, such as an n-doped or p-doped region proximate interfacial oxide layer 1002.
  • Interfacial oxide layer 1002 is disposed on semiconductor substrate
  • interfacial oxide 1002 layer may include silicon oxide (SiOx), silicon oxynitride (SiNO, S12NO, S12N2O), and/or a nitrided silicon oxide.
  • interfacial oxide layer 1002 may comprise a semiconductor oxide, a semiconducting oxynitride and/or a nitrided semiconducting oxide.
  • Interfacial oxide layer 1002 may be formed via any suitable thermal or wet growing technique, for example, oxidation or oxynitridation.
  • interfacial oxide layer 1002 may be formed by a wet chemical oxidation process that includes treating a cleaned surface of semiconductor substrate 1001 , such as an HF-last treated semiconductor surface, with a mixture of ammonium hydroxide, hydrogen peroxide and water.
  • interfacial oxide layer 1002 may be formed by treating an HF-last treated semiconductor surface in ozonated aqueous solutions.
  • interfacial oxide layer 1002 may be formed by any suitable thermal oxidation technique.
  • interfacial oxide layer 1002 is a function of the semiconductor device of which metal gate structure 1000 is a part.
  • interfacial oxide layer 1002 is significantly thinner than high-k dielectric layer 1003, metal nitride capping layer 1004, and metal gate electrode layer 1005.
  • interfacial oxide layer 1002 has a thickness from about 0.5 to 2.0 nm, although in some embodiments interfacial oxide layer 1002 may be thicker.
  • thermal processes for device fabrication that occur subsequent to the formation of metal gate structure 1000 may further increase the thickness of interfacial oxide layer 1002.
  • High-k dielectric layer 1003 may be a gate dielectric layer or other dielectric layer in metal gate structure 1000, and includes a so-called“high-k dielectric” material. More specifically, high-k dielectric layer 1003 includes one or more materials that have a dielectric constant greater than that of S1O2, such as a material having a dielectric constant of at least about 4.0, or ideally at least about 10.0. In addition, the high-k dielectric material included in high- k dielectric layer 1003 is suitable for use in an integrated circuit.
  • the one or more high-k dielectric materials included in high-k dielectric layer 1003 also ideally have the ability to prevent diffusion of dopants, few electrical defects that can compromise breakdown performance, good thermal stability, and high recrystallization temperature.
  • high-k dielectric materials suitable for use in high-k dielectric layer 1003 include, without limitation, silicon nitride, silicon oxynitride, metal oxides, metal nitrides, metal oxynitrides and/or metal silicates.
  • high-k dielectric layer 1003 includes one or more of hafnium oxide (Hf x O y ), zirconium oxide (ZrC ), hafnium silicate oxides (HfxSh-xOy) or other hafnium-based dielectrics, lanthanum oxides (I_a203), aluminum oxide (AI2O3), titanium oxide (T1O2), strontium titanate (SrTiCh), lanthanum aluminate (LaAICh), yttrium oxide (Y2O3), hafnium silicate oxides (HfxSh-xOy), lanthanum oxides (I_a203), and/or multilayered stacks thereof.
  • High-k dielectric layer 1003 may be formed via any suitable deposition method, including a thermal growth process such as, for example, an oxidation, nitridization or oxynitridization process.
  • high-k dielectric layer 1003 may be formed by one or more deposition process including, but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), metalorgano chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and/or any combination of thereof.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • MOCVD metalorgano chemical vapor deposition
  • ALD atomic layer deposition
  • evaporation reactive sputtering
  • chemical solution deposition chemical solution deposition and/or any combination of thereof.
  • a thickness 1003A of high-k dielectric layer 1003 may vary depending on the dielectric material included therein, the process used to form high-k dielectric layer 1003, and the geometry and operation of the semiconductor device in which metal gate structure 1000 is included. In some embodiments, thickness 1003A of high-k dielectric layer 1003 is from about 1 .0 nm to about 20 nm.
  • Metal nitride capping layer 1004 is a metal layer disposed on high- k dielectric layer 1003 that is typically configured as an electrically conductive protective layer on high-k dielectric layer 1003. Thus, in some embodiments, metal nitride capping layer 1004 is configured to prevent unwanted oxidation of semiconductor substrate 1001 and/or high-k dielectric layer 1003. Furthermore, in such embodiments, metal nitride capping layer 1004 may also be configured to allow the diffusion of oxygen out of high-k dielectric layer
  • 1004 may also be configured to allow the diffusion of oxygen out of an interfacial layer 1009 that is formed between high-k dielectric layer 1003 and metal nitride capping layer 1004 during the thermal anneal process.
  • metal nitride capping layer 1004 includes a metal nitride, such as TiN, tantalum nitride (TaN), tantalum silicon nitride (TaSiN), and the like. It is noted that, in some embodiments, deposition of nitride capping layer 1004 on high-k dielectric layer 1003 can result in the formation of interfacial layer 1009 that is disposed at the interface between high-k dielectric layer 1003 and metal nitride capping layer 1004. According to some embodiments, interfacial layer 1009 is subsequently eliminated or reduced in thickness when a sequential plasma hydrogenation and nitridization process, as described herein, is applied to an exposed surface of metal nitride capping layer 1004.
  • a sequential plasma hydrogenation and nitridization process as described herein
  • Metal nitride capping layer 1004 may be formed via any suitable deposition method, including but not limited to a PVD process, a CVD process, a PECVD process, an MOCVD process, an ALD evaporation process, reactive sputtering, chemical solution deposition and/or any combination of thereof.
  • metal nitride capping layer 1004 is significantly thinner than high-k dielectric layer 1003 and metal gate electrode layer 1005.
  • metal nitride capping layer 1004 may have a thickness 1004A of about 5 nm to about 15 nm.
  • thickness 1004A of metal nitride capping layer 1004 is selected to facilitate diffusion of oxygen atoms from high-k dielectric layer 1003 and/or interfacial layer 1009. Specifically, in such embodiments, thickness 1004A is selected so that O atoms diffuse from high- k dielectric layer 1003 and/or interfacial layer 1009 during a thermal anneal process that occurs after the deposition of metal nitride capping layer 1004. In such embodiments, thickness 1004A is selected to be less than a diffusion length of O atoms through metal nitride capping layer 1004 during the thermal anneal process. In one example, one such thermal anneal process is a spike anneal process performed on metal gate structure 1000 for a duration of 1 -2 seconds and a peak temperature of about 700 to about 900 °C.
  • Metal gate electrode layer 1005 is a metal layer formed on metal nitride capping layer 1004, and includes one or more deposited metal layers.
  • metal gate electrode layer 1005 is configured as a gate electrode and/or work function metal of metal gate structure 1000.
  • the one or more metal layers included in metal gate electrode layer 1005 are selected to have a collective gate electrode work function value that facilitates operation of metal gate structure 1000 and of a semiconductor device in which metal gate structure 1000 is included.
  • Metal gate electrode 1005 may be formed via any suitable deposition method, including, but not limited to, CVD, PECVD, MOCVD, ALD, evaporation, reactive sputtering, chemical solution deposition and/or any combination of thereof.
  • metal gate electrode layer 1005 is a p-metal gate material, such as TiN.
  • metal gate electrode layer 1005 is an n-metal gate.
  • N-metals suitable for use in metal gate electrode layer 1005 include titanium aluminum carbide (TixAIC).
  • metal gate structure 1000 during fabrication of metal gate structure 1000, a sequential plasma hydrogenation and nitridization process is performed on metal nitride capping layer 1004 prior to deposition of metal gate electrode layer 1005.
  • an EOT of metal gate structure 1000 is reduced, while a leakage current of metal gate structure 1000 is increased at a lower than expected magnitude.
  • metal gate structure 1000 displays little or no flatband voltage shift normally associated with a reduced EOT.
  • interfacial oxide layer 1002 has a thickness of about 1 -2 nm
  • high-k dielectric layer 1003 has a thickness 1003A of about 2-3 nm
  • metal nitride capping layer 1004 has a thickness 1004A of about 3-4 nm.
  • one measurable effect of treating metal nitride capping layer 1004 with the sequential plasma hydrogenation and nitridization process described herein is a reduction of measured EOT of metal gate structure 1000 by approximately 1 A (i.e., from about 9 A down to about 8 A).
  • metal nitride capping layer 1004 Another effect of such treatment of metal nitride capping layer 1004 is an increase in leakage current (at a flatband voltage of -1 V) by about 2.4 times (i.e., from about 0.268 A/cm 2 to about .658 A/cm 2 ).
  • leakage current is expected to increase by approximately a factor of 10.
  • the treatment of the metal nitride capping layer 1004 with the herein described sequential plasma hydrogenation and nitridization process has the effect of reducing EOT of metal gate structure 1000 with approximately one quarter of the increased leakage current as that associated with simply scaling down thickness 1004A of metal nitride capping layer 1004.
  • metal gate structure 1000 has been shown to remain substantially constant when metal gate structure 1000 is formed with the sequential plasma hydrogenation and nitridization process, despite the above-described reduction in EOT.
  • application of the sequential plasma hydrogenation and nitridization process to metal nitride capping layer 1004 enables the fabrication of metal gate structure 1000 with reduced EOT without flatband voltage shift and the resulting impact on device design.
  • FIG. 11 sets forth a flow chart of process steps for reducing EOT in a metal gate structure, according to various embodiments of the disclosure.
  • FIGS. 12A-12J are schematic cross-sectional views of a semiconductor device corresponding to different stages of the process of FIG. 11 , according to various embodiments of the disclosure.
  • a method 1100 begins at step 1101 , in which high-k dielectric layer 1003 is deposited on interfacial oxide layer 1002 as shown in FIG. 12A.
  • High- k dielectric layer 1003 may be formed via any suitable deposition method described above in conjunction with FIG. 10.
  • metal nitride capping layer 1004 is deposited on high-k dielectric layer 1003, as shown in FIG. 12B.
  • Metal nitride capping layer 1004 may be formed via any suitable deposition method described above in conjunction with FIG. 10.
  • deposition of metal nitride capping layer 1004 results in the formation of interfacial layer 1009, which is disposed at the interface between high-k dielectric layer 1003 and metal nitride capping layer 1004.
  • interfacial layer 1009 generally includes vacancies (that may be similar to vacancies 213 in FIG. 2A) and/or O atoms incorporated therein by contamination present in the processing environment during the deposition process of step 1102.
  • an exposed surface 1201 shown in FIG. 12B, is exposed to air.
  • metal nitride capping layer 1004 is deposited in one processing system, such as multi-chamber processing system 500 in FIG. 5, while the next processing step to be performed on semiconductor substrate 1001 is performed in a different processing system.
  • semiconductor substrate 1001 is exposed to air after the deposition of metal nitride layer 1004.
  • step 1103 is not performed.
  • metal nitride capping layer 1004 deposited in step 1102 is a sacrificial metal nitride layer that is subsequently removed
  • method 1100 proceeds to step 1131.
  • the metal nitride capping layer 1004 deposited in step 1102 is retained in metal gate structure 1000
  • method 1100 proceeds to step 1104.
  • the sacrificial metal nitride layer may be removed by use of a subsequent wet or dry etching process that is selective to the removal of the metal nitride capping layer 1004.
  • a sequential plasma hydrogenation and nitridization process is performed on surface 1201 of metal nitride capping layer 1004, as shown in FIG. 12C.
  • the plasma hydrogenation and nitridization processes may be substantially similar to the plasma hydrogenation and nitridization processes described above in conjunction with FIG. 4. Further, the plasma hydrogenation process includes non-oxidizing plasma-excited hydrogen species, and does not include any oxidizing plasma-excited hydrogen species.
  • the plasma hydrogenation process of step 1104 is performed for a duration of between about 30 seconds and about 150 seconds, at a chamber pressure of between about 20 mTorr and about 100 mTorr, at a processing temperature (such as substrate pedestal temperature) of between about 400 °C and about 500 °C, with an RF power of between about 500 W and about 1500 W, a flow rate of H2 of between about 20 seem and about 100 seem, and a flow rate of Ar of between about 900 seem and about 980 seem.
  • the flow rate of H2 is between about 1 % and about 15% of the total process gases introduced into the chamber.
  • the plasma hydrogenation process of step 1104 is performed for a duration of between about 85 seconds and about 95 seconds, at a chamber pressure of between about 45 mTorr and about 55 mTorr, at a processing temperature of between about 425 °C and about 475 °C, with an RF power of between about 700 W and about 800 W, a flow rate of H2 of between about 45 seem and about 55 seem, and a flow rate of Ar of between about 965 seem and about 955 seem.
  • the plasma nitrogenation process of step 1104 is performed for a duration of between about 30 seconds and about 150 seconds, at a chamber pressure of between about 10 mTorr and about 50 mTorr, at a processing temperature of between about 400 °C and about 500 °C, with an RF power of between about 500 W and about 1500 W, a flow rate of NFI3 of between about 1 % and about 10% of the total process gas flow rate, a flow rate of N2 of between about 45% and about 55% of the total process gas flow rate, and a flow rate of Ar selected to equal the remainder of process gas flow.
  • the plasma nitrogenation process of step 1104 is performed for a duration of between about 85 seconds and about 95 seconds, at a chamber pressure of between about 15 mTorr and about 25 mTorr, at a processing temperature of between about 425 °C and about 475 °C, with an RF power of between about 700 W and about 800 W, a flow rate of NFI3 of between about 2% and about 3% of the total process gas flow rate, a flow rate of N2 of between about 45% and about 55% of the total process gas flow rate, and a flow rate of Ar selected to equal the remainder of process gas flow.
  • step 1104 surface 1201 is exposed to plasma-excited hydrogen species generated in the plasma hydrogenation process, and some or all oxides present on surface 1201 are reduced.
  • plasma-excited hydrogen species can also reduce some or all oxygen (O) atoms present in the bulk material of metal nitride capping layer 1004.
  • step 1104 surface 1201 is exposed to plasma- excited nitrogen species generated in the plasma nitridization process, thereby saturating surface 1201 with N atoms and, in some embodiments, filling vacancies present in the bulk material of metal nitride capping layer 1004 with N atoms.
  • interfacial layer 1009 is eliminated or significantly reduced, as shown in FIG. 12D.
  • the plasma hydrogenation process of step 1104 is performed in the same processing chamber as the plasma nitridization process of step 1104, for example in process chamber 400 of FIG. 4.
  • the plasma hydrogenation process of step 1104 is performed in a first processing chamber of a multi-chamber processing system, while the plasma nitridization process of step 1104 is performed in a second processing chamber of the same multi-chamber processing system.
  • surface 1201 is not exposed to air between the plasma hydrogenation process and the plasma nitridization process of step 1104.
  • surface 1201 is not exposed to air after being exposed to the plasma-excited hydrogen species and before being exposed to the plasma-excited nitrogen species.
  • an oxygen-free conditioning process is performed in the processing chamber, for example to reduce trace oxygen contamination in the processing chamber.
  • the processing chamber is treated with an oyxgen-free plasma without a substrate placed therein and before the substrate is treated via the above-described plasma hydrogenation process.
  • plasma treatment of the process chamber prior to introducing a substrate to the chamber is sometimes referred to as a plasma every wafer (PEW) process or PEW treatment.
  • such a PEW process includes introducing one or more non-oxygen-containing gases, such as N2, NH3, Ar, H2, or any suitable combination thereof, into the process chamber, and energizing the one or more gases to form an oxygen-free plasma.
  • the PEW process may include introducing plasma-containing radicals and/or ions of N, H, or NH3, or any suitable combination thereof, into the process chamber, where the plasma is formed in a remote plasma source outside of the process chamber.
  • an NH3 gas or a combination of NH3 and Ar gases is introduced into the process chamber.
  • H2 gas or a combination of H2 and Ar gases is introduced into the process chamber.
  • N2 gas or a combination of N2 and Ar gases is introduced into the process chamber.
  • the plasma treatment of the processing chamber prior to introducing the substrate involves introducing or forming a plasma containing hydrogen and/or nitrogen in the process chamber.
  • radicals generated from the plasma inside the processing chamber during the PEW process such as N*, NH* and/or H* react with trace O atoms within the processing chamber.
  • the one or more gases introduced into the processing chamber are energized by an RF power source, such as RF power source 414 of FIG. 4.
  • the RF power may be pulsed at 2% to 70% duty cycle and may range from about 100 W to about 2500 W.
  • the RF power may be a continuous wave ranging from about 100 W to about 2500 W.
  • the PEW process of step 1104 is performed for a duration of between about 20 seconds and about 100 seconds, at a chamber pressure of about 10 mTorr to about 200 mTorr, at a processing temperature of between about 400 °C and about 500 °C, with an RF power of between about 250 W and about 750 W, a flow rate of H2 of between about 50 seem and about 200 seem, and a flow rate of O2 of between about 450 seem and about 550 seem.
  • exposed surface 1201 is exposed to air.
  • the above-described sequential hydrogenation and nitridization process is performed in one processing system, while the next processing step to be performed on semiconductor substrate 1001 is performed in a different processing system.
  • semiconductor substrate 1001 is exposed to air after the deposition of metal nitride layer 1004.
  • step 1105 is not performed.
  • method 1100 proceeds from step 1105 to step 1121. In embodiments in which no sacrificial silicon layer is deposited in forming metal gate structure 1000, method 1100 proceeds to step 1106.
  • the sacrificial silicon-containing layer may be formed by use of a CVD or ALD process that uses one or more silicon containing precursor gases to form the deposited layer.
  • a thermal anneal process such as a post-cap anneal, is performed on semiconductor substrate 1001 , interfacial layer 1002, high-k dielectric layer 1003, and metal nitride capping layer 1004.
  • a spike anneal process is performed in step 1106, in which a peak temperature of about 600 to 900 °C is reached.
  • the post-cap anneal is performed on partially formed metal gate structure 1000 to smooth interface, repair unsaturated bonds, and inject thermal energy into metal nitride capping layer.
  • metal gate electrode layer 1005 is deposited on the treated metal nitride capping layer 1004, as shown in FIG. 12E, thereby completing formation of metal gate structure 1000.
  • Metal gate electrode 1005 may be formed via any suitable deposition method described above in conjunction with FIG. 10.
  • a sacrificial silicon layer 1202 is deposited on metal nitride capping layer 1004, as shown in FIG. 12F. Step 1121 is performed after surface 1201 of metal nitride capping layer 1004 is treated by the sequential plasma hydrogenation and nitridization process of step 1104 and the optional air exposure of step 1105.
  • the sacrificial silicon layer 1202 may include any suitable silicon- containing material, such as amorphous silicon, and may be deposited using any suitable deposition process known in the art, such as a CVD process. Sacrificial silicon layer 1202 is deposited on metal nitride capping layer 1004 to reduce the formation of oxides in metal nitride capping layer 1004, interfacial layer 1009 (if still present), and high-k dielectric layer 1003 during a subsequent thermal anneal process, such as a so-called post-cap anneal process.
  • the post-cap anneal process includes an atmospheric thermal anneal process.
  • metal gate structure 1000 can occur, including interfacial layer 1002, high-k dielectric layer 1003, and metal nitride capping layer 1004, thereby increasing the EOT of metal gate structure 1000.
  • the presence of sacrificial silicon layer 1202 can shield the layers of metal gate structure 1000 from atmospheric O atoms during the pre-cap anneal process.
  • sacrificial silicon layer 1202 can react with, and thereby retain, O atoms diffusing out of high-k dielectric layer 1003, interfacial layer 1009 (if still present), and metal nitride capping layer 1004 during a thermal anneal process.
  • sacrificial silicon layer 1202 minimizes or eliminates the potential for unwanted oxidation of portions of metal gate structure 1000 during a subsequent thermal anneal process.
  • a thermal anneal process such as a post-cap anneal, is performed on semiconductor substrate 1001 , interfacial layer 1002, high-k dielectric layer 1003, metal nitride capping layer 1004, and sacrificial silicon layer 1202.
  • the thermal anneal process of step 1122 may be substantially similar to the thermal anneal process of step 1106, described above.
  • step 1123 sacrificial silicon layer 1202 is removed from metal gate structure 1000.
  • Any technically feasible removal process may be employed in step 1123, include a selective wet-etching process, a plasma-based dry etching process, a chemical-mechanical polishing process, or any combination thereof.
  • Method 1100 then proceeds to step 1107, in which the final layer of metal gate structure 1000 is deposited.
  • a sacrificial silicon layer 1203 is deposited on metal nitride capping layer 1004, as shown in FIG. 12G.
  • Sacrificial silicon layer 1203 may be substantially similar to sacrificial silicon layer 1202 deposited in step 1131.
  • metal nitride capping layer 1004 has not been treated with a sequential plasma hydrogenation and nitridization process. Consequently, metal nitride capping layer 1004 may still include interfacial layer 1009, as shown.
  • a thermal anneal process such as a post-cap anneal, is performed on semiconductor substrate 1001 , interfacial layer 1002, high-k dielectric layer 1003, metal nitride capping layer 1004, interfacial layer 1009, and sacrificial silicon layer 1203.
  • the thermal anneal process of step 1132 may be substantially similar to the thermal anneal process of step 1106, described above.
  • step 1133 sacrificial silicon layer 1203, metal nitride capping layer 1004, and interfacial layer 1009 are removed from metal gate structure 1000, as shown in FIG. 12H.
  • Any technically feasible removal process or combination of processes may be employed in step 1123, include a selective wet-etching process, a plasma-based dry-etching process, a chemical- mechanical polishing process, or any combination thereof.
  • Method 1100 then proceeds to step 1134.
  • a final metal nitride capping layer 1204 is deposited on high-k dielectric layer 1003, as shown in FIG. 121.
  • Final metal nitride capping layer 1204 may be substantially similar to metal nitride capping layer 1004, and may include interfacial layer 1009.
  • an exposed surface 1205, shown in FIG. 121 is exposed to air.
  • final metal nitride capping layer 1204 is deposited in one processing system, while the next processing step to be performed on semiconductor substrate 1001 , i.e. , step 1136, is performed in a different processing system.
  • semiconductor substrate 1001 is exposed to air after the deposition of final metal nitride layer 1204.
  • step 1135 is not performed.
  • step 1136 a sequential plasma hydrogenation and nitridization process is performed on surface 1205 of final metal nitride capping layer 1204, as shown in FIG. 12J.
  • the sequential plasma hydrogenation and nitridization process performed in step 1136 may be substantially similar to that employed in step 1104. Consequently, interfacial layer 1009 may be eliminated or reduced during step 1136, thereby removing O atoms present in final metal nitride capping layer 1204, interfacial layer 1009, and, in some embodiments, high-k dielectric layer 1003. As a result, EOT of metal gate structure 1000 is reduced without scaling down thickness 1003A of high-k dielectric layer 1003.
  • step 1136 After the sequential plasma hydrogenation and nitridization process is performed in step 1136, method 1100 proceeds to step 1107, in which the final layer of metal gate structure 1000 is deposited.
  • step 1136 and 1107 are performed in different processing systems, semiconductor substrate 1001 is necessarily exposed to air.
  • the plasma nitridization process of step 1136 can completely or almost completely nitridzide the exposed surface 1205 of final metal nitride capping layer 1204, little or no oxidation thereof generally takes place during this air exposure.
  • a method 1300 begins at step 1301 , in which high-k dielectric layer 1003 is deposited on interfacial oxide layer 1002 as shown in FIG. 14A.
  • the interfacial oxide layer 1002 can be deposited by any appropriate method, such as chemical oxidation of the underlying semiconductor substrate 1001 , thermal oxidation of the underlying substrate, atomic layer deposition (ALD), chemical vapor deposition (CVD), or the like.
  • High-k dielectric layer 1003 may be formed via any suitable deposition method described above in conjunction with FIG. 10.
  • High-k dielectric layer 1003 may include any high-k material that can be oxidized.
  • High-k dielectric layer 1003 includes silicon dioxide (S1O2) or hafnium oxide (HfC ), according to one embodiment.
  • capping layer 1404 is deposited on high-k dielectric layer 1003, as shown in FIG. 14B.
  • Capping layer 1404 may be formed via any suitable deposition method described above in conjunction with FIG. 10.
  • the capping layer 1404 may comprise a metal nitride.
  • the capping layer may include a metal nitride, such as titanium nitride (TiN), tungsten nitride (WN), tantalum nitride (TaN) or titanium silicon nitride (TiSiN), according to one embodiment.
  • deposition of capping layer 1404 results in the formation of interfacial layer 1409, which is disposed at the interface between high-k dielectric layer 1003 and capping layer 1404.
  • interfacial layer 1409 generally includes defects, such as vacancies (that may be similar to vacancies 213 in FIG. 2A) and/or 0 atoms incorporated therein by contamination present in the processing environment during the deposition process of step 1302.
  • the defects may allow unwanted charge transfer due to electron hopping from defect to defect. The charge transfer may cause current leakage or dielectric breakdown, reducing the electrical reliability of the metal gate structure 1000.
  • an exposed surface 1401 shown in FIG. 14B, is exposed to air.
  • capping layer 1404 is deposited in one processing system, such as multi-chamber processing system 500 in FIG. 5, while the next processing step to be performed on semiconductor substrate 1001 is performed in a different processing system.
  • semiconductor substrate 1001 is exposed to air after the deposition of capping layer 1404.
  • step 1303 is not performed.
  • step 1302 is a sacrificial layer that is subsequently removed
  • method 1400 proceeds to step 1331.
  • the capping layer 1404 deposited in step 1302 is retained in metal gate structure 1000
  • method 1300 proceeds to step 1304.
  • the sacrificial layer may be removed by use of a subsequent wet or dry etching process that is selective to the removal of the capping layer 1404.
  • a single-step plasma hydrogenation and nitridization process is performed on surface 1401 of capping layer 1404, as shown in FIG. 14C.
  • the single-step plasma hydrogenation and nitridization processes comprise exposing the workpiece, such as metal gate structure 1000, to a process plasma, wherein the process plasma includes a nitrogen containing gas and a hydrogen containing gas.
  • the hydrogen containing gas essentially comprises both a nitrogen and hydrogen containing gas, such as ammonia (Nhta), hydrazine (N2H4), or hydrogen azide (HN3).
  • the hydrogen containing gas includes ammonia (NH3) and the nitrogen containing gas includes (N2).
  • the process plasma may include a single gas that contains both hydrogen and nitrogen, such as hydrazine (N2H4) or ammonia (NH3), according to one embodiment.
  • the process plasma may include an additional neutral carrier gas, such as argon (Ar), or helium (He), according to one embodiment.
  • the process gases contained in the process plasma essentially comprises ammonia (NH3), nitrogen (N2) and a neutral carrier gas, such as argon (Ar) or helium (He).
  • a bias may be applied to the substrate by the biasing power source 426 during the single-step plasma hydrogenation and nitridization process of step 1304.
  • Biasing power source 426 is generally capable of producing an RF signal having a tunable frequency ranging from about 2 MHz to about 160 MHz, and power between about 0 kW and about 10 kW, similar to RF power source 414.
  • the bias power improves conformality of the grown film by rearranging the deposited atoms.
  • the single-step plasma hydrogenation and nitridization process of step 1304 is performed for a duration of between about 30 seconds and about 150 seconds, at a chamber pressure of between about 10 mTorr and about 100 mTorr, at a processing temperature (such as substrate pedestal temperature) of between about 350 °C and about 500 °C, with an RF power of between about 300 W and about 2000 W, a flow rate of NH3 of between about 5 seem and about 100 seem, a flow rate of N2 of between about 50 seem and about 1000 seem, a helium (He) flow rate of between about 1 to about 1000 seem, and a substrate bias is applied, with a frequency from about 2 MHz to about 160 MHz, and bias power between about 0 kW and about 10 kW.
  • a processing temperature such as substrate pedestal temperature
  • the single-step plasma hydrogenation and nitridization process of step 1304 is performed for a duration of between about 85 seconds and about 95 seconds, at a chamber pressure of between about 15 mTorr and about 25 mTorr, at a processing temperature of between about 425 °C and about 475 °C, with an RF power of between about 900 W and about 1100 W, a flow rate of NFta of between about 15 seem to about 35 seem, a flow rate of N2 of between about 450 seem to about 550 seem, a flow rate of Ar from about 450 seem to about 500 seem, and with no substrate bias power applied.
  • step 1304 surface 1401 is exposed to plasma-excited hydrogen and nitrogen species generated in the plasma process, and some or all oxides present on surface 1401 are converted to nitrides.
  • interfacial layer 1409 thickening is eliminated or thickening is significantly reduced, as shown in FIG. 14D.
  • the interfacial layer 1409 still remains, but thickening of the layer does not occur.
  • the reduction or nitridization of the interfacial layer 1409 reduces EOT, and changes the work function of the metal gate structure 1000.
  • an oxygen-free conditioning process is performed in the processing chamber, for example to reduce trace oxygen contamination in the processing chamber.
  • the processing chamber is treated with an oxygen-free plasma without a substrate placed therein and before the substrate is treated via the above-described single-step plasma hydrogenation and nitridization process.
  • exposed surface 1401 is exposed to air.
  • the above-single-step plasma hydrogenation and nitridization process of step 1304 is performed in one processing system, while the next processing step to be performed on semiconductor substrate 1001 is performed in a different processing system.
  • semiconductor substrate 1001 is exposed to air after the deposition of layer 1404.
  • the single-step plasma hydrogenation and nitridization process of step 1304 is performed in one chamber of a multi-chamber processing system, and step 1306 is performed in another processing chamber of the same multi-chamber processing system, optional step 1305 is not performed.
  • method 1300 proceeds from step 1305 to step 1321. In embodiments in which no sacrificial silicon layer is deposited in forming metal gate structure 1000, method 1300 proceeds to step 1306.
  • the sacrificial silicon-containing layer may be formed by use of a CVD or ALD process that uses one or more silicon containing precursor gases to form the deposited layer.
  • a thermal anneal process such as a post-cap anneal, is performed on semiconductor substrate 1001 , interfacial layer 1002, high-k dielectric layer 1003, and capping layer 1404.
  • a spike anneal process is performed in step 1306, in which a peak temperature of about 600 °C to about 900 °C is reached.
  • the post-cap anneal is performed on partially formed metal gate structure 1000 to smooth the interface, repair unsaturated bonds, and inject thermal energy into the capping layer 1404.
  • metal gate electrode layer 1005 is deposited on the treated capping layer 1404, as shown in FIG. 14E, thereby completing formation of metal gate structure 1000.
  • Metal gate electrode 1005 may be formed via any suitable deposition method described above in conjunction with FIG. 10.
  • a sacrificial silicon layer 1202 is deposited on capping layer 1404, as shown in FIG. 14F. Step 1321 is performed after surface 1401 of capping layer 1404 is treated by the single-step plasma hydrogenation and nitridization process of step 1304 and the optional air exposure of step 1305.
  • a thermal anneal process such as a post-cap anneal, is performed on semiconductor substrate 1001 , interfacial layer 1002, high-k dielectric layer 1003, capping layer 1404, and sacrificial silicon layer 1202.
  • the thermal anneal process of step 1322 may be substantially similar to the thermal anneal process of step 1306, described above.
  • step 1323 sacrificial silicon layer 1202 is removed from metal gate structure 1000.
  • Any technically feasible removal process may be employed in step 1323, include a selective wet-etching process, a plasma-based dry etching process, a chemical-mechanical polishing process, or any combination thereof.
  • Method 1300 then proceeds to step 1307, in which the final layer of metal gate structure 1000 is deposited.
  • a sacrificial silicon layer 1203 is deposited on capping layer 1404, as shown in FIG. 14G.
  • Sacrificial silicon layer 1203 may be substantially similar to sacrificial silicon layer 1202 deposited in step 1331.
  • capping layer 1404 has not been treated with the single-step plasma hydrogenation and nitridization process. Consequently, capping layer 1404 may still include interfacial layer 1409, as shown.
  • step 1332 a thermal anneal process, such as a post-cap anneal, is performed on semiconductor substrate 1001 , interfacial layer 1002, high-k dielectric layer 1003, capping layer 1404, interfacial layer 1409, and sacrificial silicon layer 1203.
  • the thermal anneal process of step 1332 may be substantially similar to the thermal anneal process of step 1306, described above.
  • step 1333 sacrificial silicon layer 1203, capping layer 1404, and interfacial layer 1409 are removed from metal gate structure 1000, as shown in FIG. 14H. Any technically feasible removal process or combination of processes may be employed in step 1333, including a selective wet-etching process, a plasma-based dry-etching process, a chemical-mechanical polishing process, or any combination thereof.
  • Method 1300 then proceeds to step 1334.
  • a final capping layer 1404f is deposited on high-k dielectric layer 1003, as shown in FIG. 141.
  • Final capping layer 1404f may consist of the same material of capping layer 1404, and final capping layer may also include interfacial layer 1409.
  • an exposed surface 1405, shown in FIG. 141 is exposed to air.
  • final capping layer 1404f is deposited in one processing system, while the next processing step to be performed on semiconductor substrate 1001 , i.e. , step 1336, is performed in a different processing system.
  • semiconductor substrate 1001 is exposed to air after the deposition of final capping layer 1404f.
  • step 1335 is not performed.
  • step 1336 a single-step plasma hydrogenation and nitridization process is performed on surface 1405 of final capping layer 1404, as shown in FIG. 14J.
  • the single-step plasma hydrogenation and nitridization process performed in step 1336 may be substantially similar to that employed in step 1304. Consequently, interfacial layer 1409 thickening may be eliminated or reduced during step 1336, thereby removing O atoms present in final capping layer 1404f, interfacial layer 1009, and, in some embodiments, high-k dielectric layer 1003. As a result, EOT of metal gate structure 1000 is reduced without scaling down thickness 1003A of high-k dielectric layer 1003.
  • step 1336 After the single-step plasma hydrogenation and nitridization process is performed in step 1336, method 1300 proceeds to step 1307, in which the final layer of metal gate structure 1000 is deposited.
  • step 1336 and 1307 are performed in different processing systems, semiconductor substrate 1001 is necessarily exposed to air.
  • the plasma nitridization process of step 1336 can completely or almost completely nitridize the exposed surface 1405 of final capping layer 1404f, little or no oxidation thereof generally takes place during this air exposure.
  • either a sequential hydrogenation and nitridization process, or a single-step hydrogenation and nitridization process is employed to enable the formation of a metal gate structure with a reduced EOT over a similar structure formed via conventional methods.
  • a plasma hydrogenation process followed by a plasma nitridization process is performed on a metal nitride layer in a film stack, thereby, in some embodiment, removing O atoms disposed within layers of the film stack and, in some embodiments reducing or preventing thickening of an oxygen- containing interfacial layer disposed within the film stack, and, in some embodiments adding N atoms to the layers of the film stack.
  • the metal gate structure operates with an increased leakage current that is as little as one quarter the increase in leakage current associated with a similar metal gate structure formed via conventional techniques.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Des modes de réalisation de la présente invention concernent généralement la formation d'une structure de grille métallique ayant une épaisseur d'oxyde efficace réduite sur une structure similaire formée par l'intermédiaire de procédés classiques. Un procédé d'hydrogénation par plasma suivi d'un processus de nitruration au plasma, ou un procédé d'hydrogénation et de nitridisation par plasma en une seule étape, est réalisé sur une couche de nitrure métallique dans un empilement de films, ainsi, selon certains modes de réalisation, l'élimination d'atomes d'oxygène disposés à l'intérieur de couches de l'empilement de films et, dans certains modes de réalisation, l'ajout d'atomes d'azote aux couches de l'empilement de films. Par conséquent, une épaisseur d'oxyde efficace de la structure de grille métallique est réduite avec peu ou pas de décalage de tension de bande plate accompagnant.
PCT/US2019/056894 2019-01-09 2019-10-18 Procédés d'hydrogénation et de nitridisation pour modifier une épaisseur d'oxyde efficace d'un film WO2020146030A1 (fr)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020217024647A KR102553773B1 (ko) 2019-01-09 2019-10-18 반도체 디바이스에 구조를 형성하는 방법
KR1020237022826A KR20230120647A (ko) 2019-01-09 2019-10-18 반도체 디바이스에 구조를 형성하는 방법
CN201980088031.8A CN113396470A (zh) 2019-01-09 2019-10-18 用于改良膜的有效氧化物厚度的氢化和氮化工艺
JP2021540083A JP7439101B2 (ja) 2019-01-09 2019-10-18 膜の有効酸化物厚さを変更するための水素化及び窒化処理

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/244,051 US10510545B2 (en) 2016-06-20 2019-01-09 Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US16/244,051 2019-01-09

Publications (1)

Publication Number Publication Date
WO2020146030A1 true WO2020146030A1 (fr) 2020-07-16

Family

ID=71521286

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/056894 WO2020146030A1 (fr) 2019-01-09 2019-10-18 Procédés d'hydrogénation et de nitridisation pour modifier une épaisseur d'oxyde efficace d'un film

Country Status (5)

Country Link
JP (1) JP7439101B2 (fr)
KR (2) KR20230120647A (fr)
CN (1) CN113396470A (fr)
TW (2) TWI777717B (fr)
WO (1) WO2020146030A1 (fr)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030194859A1 (en) * 2002-04-16 2003-10-16 Chi-Tung Huang Method of fabricating contact plug
US20110189847A1 (en) * 2010-01-29 2011-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate n/p patterning
US20160196980A1 (en) * 2015-01-06 2016-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US20170125536A1 (en) * 2015-10-28 2017-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US20170365480A1 (en) * 2016-06-20 2017-12-21 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1510755B (zh) * 2002-12-02 2010-08-25 大见忠弘 半导体器件及其制造方法
TWI408734B (zh) * 2005-04-28 2013-09-11 Semiconductor Energy Lab 半導體裝置及其製造方法
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP5380827B2 (ja) * 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
US8178445B2 (en) * 2009-06-10 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device using plasma generation
KR20170142998A (ko) * 2009-12-25 2017-12-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 제작 방법
US9006860B2 (en) * 2011-12-06 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate features of semiconductor die
US8716118B2 (en) * 2012-01-06 2014-05-06 International Business Machines Corporation Replacement gate structure for transistor with a high-K gate stack
US8901556B2 (en) * 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US9576788B2 (en) * 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US10019025B2 (en) * 2015-07-30 2018-07-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
TWI726951B (zh) * 2015-12-17 2021-05-11 美商應用材料股份有限公司 處理氮化物膜之方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030194859A1 (en) * 2002-04-16 2003-10-16 Chi-Tung Huang Method of fabricating contact plug
US20110189847A1 (en) * 2010-01-29 2011-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate n/p patterning
US20160196980A1 (en) * 2015-01-06 2016-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US20170125536A1 (en) * 2015-10-28 2017-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US20170365480A1 (en) * 2016-06-20 2017-12-21 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film

Also Published As

Publication number Publication date
KR20210102465A (ko) 2021-08-19
TW202027171A (zh) 2020-07-16
TWI777717B (zh) 2022-09-11
CN113396470A (zh) 2021-09-14
JP7439101B2 (ja) 2024-02-27
JP2022518386A (ja) 2022-03-15
TWI739176B (zh) 2021-09-11
KR102553773B1 (ko) 2023-07-11
TW202145372A (zh) 2021-12-01
KR20230120647A (ko) 2023-08-17

Similar Documents

Publication Publication Date Title
US10431466B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US7385265B2 (en) High dielectric constant MOSFET device
US7446052B2 (en) Method for forming insulation film
US9012336B2 (en) Method for conformal treatment of dielectric films using inductively coupled plasma
KR100645306B1 (ko) 기판 처리 방법
US10504779B2 (en) Hydrogenation and nitridization processes for reducing oxygen content in a film
US8043981B2 (en) Dual frequency low temperature oxidation of a semiconductor device
SG176700A1 (en) Methods of forming oxide layers on substrates
US10347492B2 (en) Modifying work function of a metal film with a plasma process
JP4083000B2 (ja) 絶縁膜の形成方法
KR102553773B1 (ko) 반도체 디바이스에 구조를 형성하는 방법
JP2024073427A (ja) 膜の有効酸化物厚さを変更するための水素化及び窒化処理
JP2007251204A (ja) 絶縁膜の形成方法
JP2005079563A (ja) 電子デバイスの製造方法
US20220231137A1 (en) Metal cap for contact resistance reduction
KR20120089147A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19908587

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2021540083

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217024647

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19908587

Country of ref document: EP

Kind code of ref document: A1