WO2020138092A1 - Procédé d'amélioration de la résistance à la gravure d'un film de sous-couche de réserve par prétraitement à l'aide de gaz hydrogène - Google Patents

Procédé d'amélioration de la résistance à la gravure d'un film de sous-couche de réserve par prétraitement à l'aide de gaz hydrogène Download PDF

Info

Publication number
WO2020138092A1
WO2020138092A1 PCT/JP2019/050644 JP2019050644W WO2020138092A1 WO 2020138092 A1 WO2020138092 A1 WO 2020138092A1 JP 2019050644 W JP2019050644 W JP 2019050644W WO 2020138092 A1 WO2020138092 A1 WO 2020138092A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
film
methyl
resist
etching
Prior art date
Application number
PCT/JP2019/050644
Other languages
English (en)
Japanese (ja)
Inventor
謙 石橋
中島 誠
博昭 谷口
勇樹 遠藤
修平 志垣
Original Assignee
日産化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日産化学株式会社 filed Critical 日産化学株式会社
Publication of WO2020138092A1 publication Critical patent/WO2020138092A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • the present invention relates to a method for improving the etching resistance of a resist underlayer film by pretreatment using hydrogen gas.
  • a thin film of photoresist is formed on a semiconductor substrate such as a silicon wafer, and active rays such as ultraviolet rays are radiated through a mask pattern on which a semiconductor device pattern is drawn. Then, the substrate is developed, and the obtained photoresist pattern is used as a protective film to etch the substrate to form fine irregularities corresponding to the pattern on the substrate surface.
  • active light rays have a tendency to have a shorter wavelength as described above
  • the influence of reflection of active light rays from a semiconductor substrate has become a serious problem, and photoresists and workpieces have been processed.
  • a method of providing a resist underlayer film called an antireflection film (Bottom Anti-Reflective Coating, BARC) between substrates has been widely applied.
  • an antireflection film for example, an underlayer film containing silicon or the like has been proposed (Patent Document 1, Patent Document 2, etc.).
  • the rate of removal by etching depends on the gas species used for etching due to the difference in the constituent components between the resist and the lower layer film, and by appropriately selecting the gas species, the target pattern can be obtained. Planned.
  • a pattern inversion method there is a pattern inversion method.
  • a resist pattern is formed on a semiconductor substrate, then the resist pattern is covered with a silicon-based coating liquid, the space between the resist patterns is filled with the coating liquid, and this is baked to form a coating film.
  • the upper part of the silicon-containing coating film is etched back to expose the upper part of the resist pattern, and then the gas is changed to remove the resist pattern by etching.
  • the silicon-based pattern remains, and the pattern is inverted.
  • the inverted pattern is transferred and the pattern is formed on the substrate.
  • Patent Documents 3 to 6 A composition containing polysiloxane has been proposed as a material used for forming such an inversion pattern.
  • a resist underlayer film for such a process unlike a conventional high etch rate resist underlayer film, a resist underlayer film for lithography having a dry etching rate selection ratio close to that of a resist, and a dry etching rate smaller than that of a resist are selected.
  • the present invention aims to provide a new method for improving the resistance to halogen-containing gas etching.
  • the present inventors have found that before the halogen-containing gas etching, the silicon-containing film is etched or ashed with a hydrogen gas-containing gas to obtain a film after the treatment. In the present invention, they found that the etching resistance to the halogen-containing gas etching was improved, and completed the present invention.
  • the present invention is a method of improving the halogen-containing gas etching resistance of a silicon-containing film, which comprises a step of etching or ashing the silicon-containing film with a hydrogen gas-containing gas before the halogen-containing gas etching. Including, regarding the method.
  • a second aspect relates to the method for improving the etching resistance according to the first aspect, wherein the silicon-containing film is a resist underlayer film.
  • a step of forming a resist underlayer film from a silicon-containing resist underlayer film forming composition on a semiconductor substrate A step of etching or ashing the resist underlayer film with a gas containing hydrogen gas, A step of forming a resist film on the resist underlayer film etched or ashed with a gas containing hydrogen gas, A step of forming a resist pattern by irradiation with light or an electron beam and development,
  • the present invention relates to a method for manufacturing a semiconductor device, including a step of etching the resist underlayer film with the formed resist pattern, and a step of processing a semiconductor substrate with the patterned resist film and the patterned resist underlayer film.
  • a step of forming a resist film on the substrate A step of forming a resist pattern by irradiation with light or an electron beam and development, A step of applying a silicon-containing thin film forming composition on a resist film on which a pattern is formed during or after development and baking to form a thin film, Etching or ashing the thin film with a gas containing hydrogen gas, Etching and removing the patterned resist film, inverting the pattern, And a method for manufacturing a semiconductor device.
  • a fifth aspect is a method for producing a resist underlayer film having improved halogen-containing gas etching resistance, Including a step of etching or ashing the lower layer film with a gas containing hydrogen gas,
  • the underlayer film is a cured product of a silicon-containing resist underlayer film forming composition, It relates to a manufacturing method.
  • the silicon-containing resist underlayer film forming composition has a polysiloxane composed of a hydrolytic condensate of a hydrolyzable silane, a reaction product of a silanol group and an alcohol contained in the condensate, and a condensate of the polysiloxane.
  • the manufacturing method according to the fifth aspect As a seventh aspect, the production method according to the sixth aspect, wherein the polysiloxane is a hydrolysis-condensation product of a hydrolyzable silane containing at least one hydrolyzable silane represented by the following formula (1).
  • R 1 is an organic group having an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, or a cyano group. It is shown, and are those that are bonded to a silicon atom by Si-C bond, R 2 represents an alkoxy group, an acyloxy group, or a halogen atom, a is an integer of 0 to 3.
  • the present invention it is possible to improve the halogen-containing gas etching resistance of the silicon-containing film by etching or ashing the silicon-containing film with a hydrogen gas-containing gas in advance.
  • the present invention relates to a method for improving the halogen-containing gas etching resistance of a silicon-containing film, including the step of etching or ashing the silicon-containing film with a hydrogen gas-containing gas.
  • the present invention also relates to a method for manufacturing a semiconductor device, which includes a step of etching or ashing a resist underlayer film or a thin film with a gas containing hydrogen gas.
  • the present invention relates to a method for producing a resist underlayer film having improved halogen-containing gas etching resistance.
  • halogen-containing gas for improving the gas etching resistance (dry etching resistance) means a gas that is a molecule containing a halogen atom (fluorine, chlorine, bromine, etc.) as a constituent atom, and is, for example, tetrafluoro Methane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane (CHF 3 ), difluoromethane (CH 2 F 2 ), sulfur hexafluoride, trifluoride Examples thereof include, but are not limited to, fluorine-containing gases such as nitrogen; chlorine-containing gases such as chlorine, chlorine trifluoride, trichloroborane and dichloroborane; and bromine-containing gases such as hydrogen bromide (HBr).
  • fluorine-containing gases such as nitrogen
  • chlorine-containing gases such as chlorine, chlorine trifluoride, trichloroborane and dichloro
  • the gas used for the etching or ashing treatment is not particularly limited as long as it is a hydrogen gas-containing gas.
  • the “hydrogen gas-containing gas” means a gas containing hydrogen gas (H 2 ), and the hydrogen gas (H 2 ) itself or a mixed gas of hydrogen (H 2 ) and nitrogen (N 2 ), for example.
  • a mixed gas of hydrogen gas (H 2 ) such as a mixed gas of hydrogen (H 2 ) and helium (He) and another gas.
  • the etching treatment can be carried out usually at 20 to 50° C.
  • the ashing treatment can be carried out usually at 20 to 320° C.
  • the total flow rate of all gases in the etching process or the ashing process and the flow rate of the hydrogen gas (H 2 ) when a mixed gas is used are appropriately determined in consideration of the desired halogen-containing gas etching resistance level and other circumstances.
  • the etching rate in the present invention can be measured, for example, with a dry etching apparatus Lam2300 manufactured by Lam Research.
  • the silicon-containing film (resist underlayer film, thin film) to be etched/ashed to which the present invention is applied can be formed from a composition containing polysiloxane.
  • the silicon-containing film (resist underlayer film, thin film) By subjecting the silicon-containing film (resist underlayer film, thin film) to etching or ashing with the above-mentioned hydrogen gas-containing gas, the halogen-containing gas etching resistance can be improved and the halogen-containing gas etching resistance can be improved. It is possible to manufacture a silicon-containing film (resist underlayer film, thin film).
  • the polysiloxane includes a polysiloxane composed of a hydrolyzed condensate of a hydrolyzable silane, and may also include a modified polysiloxane in which a part of silanol groups of the polysiloxane composed of the hydrolyzed condensate is modified. Good. Further, the polysiloxane may have a structure having any of a cage type, a ladder type, a linear type, and a branched type main chain.
  • the weight average molecular weight of the polysiloxane can be 1,000 to 1,000,000. For example, a preferable weight average molecular weight can be 1,000 to 100,000, or 1,000 to 50,000 or 1,200 to 20,000.
  • the compounds described in Patent Documents 1 to 6 described above can be used, and commercially available polysiloxane can be used.
  • the above-mentioned polysiloxane usually contains a hydrolytic condensate of a hydrolyzable silane.
  • the hydrolyzable silane can include one or more silanes.
  • Examples of the polysiloxane include a hydrolysis-condensation product of a hydrolyzable silane containing at least one hydrolyzable silane represented by the following formula (1).
  • R 1 has an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, or a cyano group. It represents an organic group and is bonded to a silicon atom by a Si—C bond, R 2 represents an alkoxy group, an acyloxy group, or a halogen atom, and a represents an integer of 0 to 3.
  • the polysiloxane is a hydrolysis-condensation product of a hydrolyzable silane (ii) in which a in the formula (1) is 1, a hydrolyzable silane (i) in which a in the formula (1) is 0, and A co-hydrolysis condensate with a hydrolyzable silane (ii) in which a in 1 is 1 can be used.
  • the above-mentioned polysiloxane can be used in the range of hydrolyzable silane (i):hydrolyzable silane (ii) in a molar ratio of 0:100 to 50:50, or 10:90 to 50:50.
  • the above-mentioned polysiloxane (hydrolyzed condensate) can also be used as a mixture of hydrolyzable silane or its hydrolyzed product.
  • a partial hydrolyzate or a silane compound which is not completely hydrolyzed when obtaining a hydrolyzed condensate is mixed with the hydrolyzed condensate, the mixture can also be used.
  • This condensate is a polymer having a polysiloxane structure.
  • the alkyl group includes, for example, a linear or branched alkyl group having 1 to 10 carbon atoms, and examples thereof include a methyl group, an ethyl group, an n-propyl group, an i-propyl group, and an n-group.
  • a cyclic alkyl group can also be used, and examples of the cyclic alkyl group having 3 to 10 carbon atoms include cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group, cyclopentyl group, and 1 -Methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2- Ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group , 1,2-dimethyl-cyclobut
  • aryl group examples include aryl groups having 6 to 20 carbon atoms, such as phenyl group, o-methylphenyl group, m-methylphenyl group, p-methylphenyl group, o-chlorophenyl group, m- Chlorphenyl group, p-chlorophenyl group, o-fluorophenyl group, p-mercaptophenyl group, o-methoxyphenyl group, p-methoxyphenyl group, p-aminophenyl group, p-cyanophenyl group, ⁇ -naphthyl group , ⁇ -naphthyl group, o-biphenylyl group, m-biphenylyl group, p-biphenylyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1-phenanthryl group, 2-phenanthryl group, 3-phenanthryl group And 4-phenanth
  • alkenyl group examples include alkenyl groups having 2 to 10 carbon atoms, such as ethenyl group (vinyl group), 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 2-methyl-1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group , 1-pentenyl group, 2-pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1- Methyl-3-butenyl group, 2-ethyl-2-propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-butenyl group, 2-methyl-3-butenyl group, 3-methyl-1-buten
  • Examples of the organic group having an epoxy group include a glycidoxymethyl group, a glycidoxyethyl group, a glycidoxypropyl group, a glycidoxybutyl group, and an epoxycyclohexyl group.
  • Examples of the organic group having an acryloyl group include an acryloylmethyl group, an acryloylethyl group, and an acryloylpropyl group.
  • Examples of the organic group having a methacryloyl group include a methacryloylmethyl group, a methacryloylethyl group, and a methacryloylpropyl group.
  • Examples of the organic group having a mercapto group include an ethylmercapto group, a butylmercapto group, a hexylmercapto group, and an octylmercapto group.
  • Examples of the organic group having a cyano group include a cyanoethyl group and a cyanopropyl group.
  • alkoxy group examples include an alkoxy group having a linear, branched, or cyclic alkyl moiety having 1 to 20 carbon atoms.
  • linear or branched alkoxy group examples include methoxy group, ethoxy group, n-propoxy group, i-propoxy group, n-butoxy group, i-butoxy group, s-butoxy group, t-butoxy group, n -Pentyloxy group, 1-methyl-n-butoxy group, 2-methyl-n-butoxy group, 3-methyl-n-butoxy group, 1,1-dimethyl-n-propoxy group, 1,2-dimethyl-n- Propoxy group, 2,2-dimethyl-n-propoxy group, 1-ethyl-n-propoxy group, n-hexyloxy group, 1-methyl-n-pentyloxy group, 2-methyl-n-pentyloxy group, 3-methyl- n-pentyloxy group, 4-methyl-n-pentyloxy group, 1,1-
  • cyclic alkoxy group examples include cyclopropoxy group, cyclobutoxy group, 1-methyl-cyclopropoxy group, 2-methyl-cyclopropoxy group, cyclopentyloxy group, 1-methyl-cyclobutoxy group, 2-methyl- Cyclobutoxy group, 3-methyl-cyclobutoxy group, 1,2-dimethyl-cyclopropoxy group, 2,3-dimethyl-cyclopropoxy group, 1-ethyl-cyclopropoxy group, 2-ethyl-cyclopropoxy group, cyclohexyloxy Group, 1-methyl-cyclopentyloxy group, 2-methyl-cyclopentyloxy group, 3-methyl-cyclopentyloxy group, 1-ethyl-cyclobutoxy group, 2-ethyl-cyclobutoxy group, 3-ethyl-cyclo Butoxy group, 1,2-dimethyl-cyclobutoxy group, 1,3-dimethyl-cyclobutoxy group, 2,2-dimethyl-cyclobutoxy group, 2,3-dimethyl-cyclobutoxy group
  • acyloxy group examples include an acyloxy group having 2 to 20 carbon atoms, and examples thereof include a methylcarbonyloxy group, an ethylcarbonyloxy group, an n-propylcarbonyloxy group, an i-propylcarbonyloxy group and an n-butylcarbonyloxy group.
  • the above halogen atom includes a fluorine atom, a chlorine atom, a bromine atom, an iodine atom and the like.
  • the hydrolyzable silane represented by the above formula (1) is a tetraalkoxysilane in which a is 0 in the formula (1), a methyltrialkoxysilane in which a is 1 in the formula (1), a vinyltrialkoxysilane, or It is preferable to contain any one of phenyltrialkoxysilane and dimethyldialkoxysilane in which a is 2 in the formula (1).
  • a modified polysiloxane in which at least a part of silanol groups is modified can be used as the polysiloxane.
  • the modified polysiloxane is a product obtained by reacting a part of the silanol groups of the condensate with a hydroxy group of an alcohol in the hydrolysis-condensation product of the above-mentioned hydrolyzable silane.
  • Examples thereof include a dehydration reaction product of the polysiloxane, which is composed of a decomposition condensate, an alcohol and an acid, and a modified product obtained by protecting a part of the silanol group of the condensate with an acetal group.
  • a monohydric alcohol can be used.
  • 3-methoxybutanol ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy Alkoxy group-containing alcohols such as -2-propanol) and propylene glycol monobutyl ether (1-butoxy-2-propanol) can be used.
  • the reaction between the silanol group contained in the condensate and the hydroxy group of the alcohol is carried out by contacting the polysiloxane with the alcohol and reacting at a temperature of 110 to 160° C., for example 150° C. for 0.1 to 48 hours, for example 24 hours.
  • a modified polysiloxane capped with silanol groups can be obtained.
  • the alcohol of the capping agent can be used as a solvent in the composition containing the polysiloxane.
  • the dehydration reaction product of the polysiloxane composed of the hydrolysis-condensation product of the hydrolyzable silane with the alcohol and the acid reacts the polysiloxane with the alcohol and the acid, and removes water generated by dehydration to the outside of the reaction system.
  • the acid an organic acid having an acid dissociation constant (pka) of -1 to 5, preferably 4 to 5, can be used.
  • the acid can be exemplified by trifluoroacetic acid, maleic acid, benzoic acid, isobutyric acid, acetic acid and the like, and among them, benzoic acid, isobutyric acid, acetic acid and the like.
  • an acid having a boiling point of 70 to 160° C. can be used, and examples thereof include trifluoroacetic acid, isobutyric acid, and acetic acid.
  • the above-mentioned acid is preferably one having an acid dissociation constant (pka) of 4 to 5 or a boiling point of 70 to 160° C. That is, the one having a weak acidity or the one having a strong acidity but a low boiling point can be used.
  • any property can be used from the properties of acid dissociation constant and boiling point.
  • a vinyl ether for example, a vinyl ether represented by the following formula (3)
  • the partial structure represented by the following formula (2) is converted into a polyether by the reaction. It can be introduced into the siloxane.
  • R 1a , R 2a , and R 3a each represent a hydrogen atom or an alkyl group having 1 to 10 carbon atoms
  • R 4a represents an alkyl group having 1 to 10 carbon atoms
  • R 2a And R 4a may combine with each other to form a ring.
  • the above-mentioned examples of the alkyl group can be mentioned.
  • R 1 ′, R 2 ′, and R 3 ′ each represent a hydrogen atom or an alkyl group having 1 to 10 carbon atoms
  • R 4 ′ is an alkyl group having 1 to 10 carbon atoms.
  • R 2 ′ and R 4 ′ may combine with each other to form a ring.
  • * indicates a bond with an adjacent atom.
  • the adjacent atom include an oxygen atom of a siloxane bond, an oxygen atom of a silanol group, and a carbon atom derived from R 1 of formula (1).
  • the above-mentioned examples of the alkyl group can be mentioned.
  • Examples of the vinyl ether represented by the above formula (3) include aliphatic vinyl ether compounds such as methyl vinyl ether, ethyl vinyl ether, isopropyl vinyl ether, normal butyl vinyl ether, 2-ethylhexyl vinyl ether, tert-butyl vinyl ether, and cyclohexyl vinyl ether; Cyclic vinyl ether compounds such as 3-dihydrofuran, 4-methyl-2,3-dihydrofuran, and 3,4-dihydro-2H-pyran can be used.
  • ethyl vinyl ether propyl vinyl ether, butyl vinyl ether, ethylhexyl vinyl ether, cyclohexyl vinyl ether, 3,4-dihydro-2H-pyran, or 2,3-dihydrofuran can be preferably used.
  • the acetal protection of the silanol group is carried out by using polysiloxane, the vinyl ether, and an aprotic solvent such as propylene glycol monomethyl ether acetate, ethyl acetate, dimethylformamide, tetrahydrofuran, 1,4-dioxane as a solvent, and pyridium paratoluene. It can be carried out by using a catalyst such as sulfonic acid, trifluoromethanesulfonic acid, paratoluenesulfonic acid, methanesulfonic acid, hydrochloric acid, sulfuric acid.
  • a catalyst such as sulfonic acid, trifluoromethanesulfonic acid, paratoluenesulfonic acid, methanesulfonic acid, hydrochloric acid, sulfuric acid.
  • the hydrolysis-condensation product of the above hydrolyzable silane (which may include a polysiloxane and a modified product) has a weight average molecular weight of, for example, 1,000 to 1,000,000, or 1,000 to 100,000, or It can be a condensate of 1,000 to 50,000 and 1,200 to 20,000. These molecular weights are molecular weights obtained by GPC analysis in terms of polystyrene.
  • the measurement conditions of GPC are, for example, GPC device (trade name HLC-8220GPC, manufactured by Tosoh Corporation), GPC column (trade name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko KK), and column temperature is 40° C.
  • the eluent (elution solvent) is tetrahydrofuran
  • the flow rate (flow rate) is 1.0 ml/min
  • the standard sample is polystyrene (Showa Denko KK).
  • one of the hydrolyzable groups is used. 0.1 to 100 mol, preferably 1 to 10 mol of water is used per mol.
  • the hydrolysis may be performed with or without a hydrolysis catalyst. When the hydrolysis catalyst is used, 0.0001 to 10 mol, preferably 0.001 to 1 mol of the hydrolysis catalyst can be used per 1 mol of the hydrolyzable group.
  • the reaction temperature at the time of carrying out hydrolysis and condensation can be carried out usually in the range of room temperature or higher and the reflux temperature of the organic solvent used for hydrolysis at normal pressure or lower, and is, for example, 20 to 110°C.
  • the hydrolysis may be complete hydrolysis, that is, all hydrolyzable groups may be converted into silanol groups, or may be partially hydrolyzed, that is, unreacted hydrolyzable groups may be left. That is, after the hydrolysis and condensation reaction, uncondensed hydrolyzate or monomers may remain in the hydrolyzed condensate.
  • the hydrolysis catalyst that can be used for hydrolysis and condensation include metal chelate compounds, organic acids, inorganic acids, organic bases, and inorganic bases.
  • Examples of the metal chelate compound as a hydrolysis catalyst include triethoxy mono(acetylacetonato)titanium, tri-n-propoxy mono(acetylacetonato)titanium, tri-i-propoxy mono(acetylacetonato)titanium, tritium.
  • Organic acids as hydrolysis catalysts include, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacine.
  • the inorganic acid as the hydrolysis catalyst include hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, phosphoric acid and the like.
  • Organic bases as hydrolysis catalysts include, for example, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diazamine.
  • Examples thereof include zabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide and tetraethylammonium hydroxide.
  • the inorganic base as the hydrolysis catalyst include ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, calcium hydroxide and the like.
  • metal chelate compounds organic acids and inorganic acids are preferable, and these may be used alone or in combination of two or more.
  • organic solvent usable in the hydrolysis reaction examples include n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2,2,4-trimethylpentane, n-octane, Aliphatic hydrocarbon solvents such as i-octane, cyclohexane and methylcyclohexane; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i-propylbenzene, diethylbenzene, i-butylbenzene, triethylbenzene , Aromatic hydrocarbon solvents such as di-i-propylbenzene, n-amylnaphthalene and trimethylbenzene; methanol, ethanol, n-propano
  • Monoalcohol solvent ethylene glycol, propylene glycol, 1,3-butylene glycol, 2,4-pentanediol, 2-methyl-2,4-pentanediol, 2,5-hexanediol, 2,4-heptanediol , 2-ethyl-1,3-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene glycol, glycerin and other polyhydric alcohol solvents; acetone, methyl ethyl ketone, methyl-n-propyl ketone, methyl-n- Butyl ketone, diethyl ketone, methyl-i-butyl ketone, methyl-n-pentyl ketone, ethyl-n-butyl ketone, methyl-n-hexyl ketone, di-i-butyl ketone, trimethyl nona Ketone solvents such as
  • the hydrolyzable silane is hydrolyzed and condensed using a catalyst in a solvent, and the resulting hydrolyzed condensate (polymer) is removed by distillation such as vacuum distillation to remove by-product alcohol and the used hydrolysis catalyst and water at the same time. can do. Further, the acid or base catalyst used for hydrolysis can be removed by neutralization or ion exchange.
  • the hydrolyzed condensate (polysiloxane) thus obtained is obtained in the form of a polysiloxane varnish dissolved in an organic solvent, which can be used as it is as a composition containing polysiloxane.
  • the obtained polysiloxane varnish may be replaced with a solvent, or may be appropriately diluted with a solvent. If the storage stability of the obtained polysiloxane varnish is not bad, the organic solvent can be distilled off to obtain a solid content of 100%.
  • the organic solvent used for solvent substitution or dilution of the polysiloxane varnish may be the same as or different from the organic solvent used for the hydrolysis reaction of the hydrolyzable silane.
  • the solvent for dilution is not particularly limited, and one kind or two or more kinds can be arbitrarily selected and used.
  • composition Containing Polysiloxane includes the above-mentioned hydrolyzable silane, its hydrolyzate, its hydrolyzed condensate (which may include polysiloxane and modified products), and a solvent.
  • the solid content in the composition containing polysiloxane (hereinafter, also simply referred to as composition) is, for example, 0.1 to 50 mass %, 0.1 to 30 mass %, 0.1 to 25 mass %, 0.5 to It is 20.0 mass %, or 1.0 to 10.0 mass %.
  • the solid content refers to the ratio of all components of the composition excluding the solvent component.
  • the proportion of hydrolyzable silane, its hydrolyzate, and its hydrolyzed condensate (which may include polysiloxane and modified products) in the solid content is 20% by mass or more, for example, 50 to 100% by mass, It is 60 to 100% by mass, 70 to 100% by mass, 80 to 100% by mass, and 80 to 99% by mass.
  • the concentration of the hydrolyzable silane, its hydrolyzate, and its hydrolyzed condensate (which may include polysiloxane and modified products) in the composition is 0.5 to 20.0% by mass.
  • the solvent can be used without particular limitation as long as it can dissolve the solid content contained in the composition, an organic solvent used in the hydrolysis reaction of the hydrolyzable silane, and also silanol
  • the alcohols used for modifying the group (capping) can be used.
  • the solvent include methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol, propylene glycol monomethyl ether, propylene glycol monoethyl ether, methyl isobutyl carbinol, propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoether.
  • additives can be added to the above composition depending on the use of the composition.
  • the additive include a curing catalyst (ammonium salt, phosphine, phosphonium salt, sulfonium salt, nitrogen-containing silane compound, etc.), cross-linking agent, cross-linking catalyst, stabilizer (organic acid, water, alcohol, etc.), organic Polymer compounds, photoacid generators (onium salt compounds, sulfonimide compounds, disulfonyldiazomethane compounds, etc.), surfactants (nonionic surfactants, anionic surfactants, cationic surfactants, silicon surfactants) , Fluorine-based surfactants, UV-curable surfactants, etc.), rheology modifiers, adhesion aids, resist underlayer films, antireflection films, pattern reversal films, and other various films that can be used in the manufacture of semiconductor devices.
  • Known additives to be blended with the material (composition) for forming the can be mentioned.
  • ammonium salts As the curing catalyst, ammonium salts, phosphines, phosphonium salts, sulfonium salts, etc. can be used.
  • the following salts described as curing catalysts may be added in the form of salts, or those that form a salt in the composition (added as a separate compound at the time of addition and form a salt in the system). ).
  • the ammonium salt has the formula (D-1): (In the formula, m is an integer of 2 to 11, n is an integer of 2 to 3, R 21 is an alkyl group or an aryl group, and Y ⁇ is an anion.)
  • the phosphonium salt has the formula (D-7): (In the formula, R 31 , R 32 , R 33 , and R 34 represent an alkyl group or an aryl group, P represents a phosphorus atom, Y ⁇ represents an anion, and R 31 , R 32 , R 33 , and R 33 And 34 are each linked to a phosphorus atom by a CP bond).
  • the above sulfonium salt has the formula (D-8): (In the formula, R 35 , R 36 , and R 37 represent an alkyl group or an aryl group, S represents a sulfur atom, Y ⁇ represents an anion, and R 35 , R 36 , and R 37 represent C—S, respectively. And a tertiary sulfonium salt represented by the formula (which is bonded to a sulfur atom by a bond).
  • the compound of the formula (D-1) is a quaternary ammonium salt derived from an amine, m is 2 to 11, and n is an integer of 2 to 3.
  • R 21 of this quaternary ammonium salt represents an alkyl group having 1 to 18 carbon atoms, preferably 2 to 10 carbon atoms, or an aryl group having 6 to 18 carbon atoms, such as an ethyl group, a propyl group and a butyl group.
  • the anion (Y ⁇ ) is a halide ion such as chlorine ion (Cl ⁇ ), bromine ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulphonate (—SO 3 ⁇ ). ), alcoholate (—O ⁇ ), and the like.
  • the compound of the above formula (D-2) is a quaternary ammonium salt represented by R 22 R 23 R 24 R 25 N + Y ⁇ .
  • R 22 , R 23 , R 24 and R 25 of this quaternary ammonium salt are an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms.
  • the anion (Y ⁇ ) is a halide ion such as chlorine ion (Cl ⁇ ), bromine ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulphonate (—SO 3 ⁇ ).
  • acid groups such as alcoholate (—O ⁇ ).
  • This quaternary ammonium salt is commercially available, for example, tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzyl chloride.
  • Examples include ammonium and trimethylbenzylammonium chloride.
  • the compound of the above formula (D-3) is a quaternary ammonium salt derived from 1-substituted imidazole, wherein R 26 and R 27 have 1 to 18 carbon atoms, and R 26 and R 27 are The total number of carbon atoms is preferably 7 or more.
  • R 26 may be a methyl group, an ethyl group, a propyl group, a phenyl group or a benzyl group
  • R 27 may be a benzyl group, an octyl group or an octadecyl group.
  • the anion (Y ⁇ ) is a halide ion such as chlorine ion (Cl ⁇ ), bromine ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulphonate (—SO 3 ⁇ ). And acid groups such as alcoholate (—O ⁇ ).
  • this compound can be obtained as a commercial product, for example, by reacting an imidazole compound such as 1-methylimidazole or 1-benzylimidazole with an alkyl halide or aryl halide such as benzyl bromide or methyl bromide. Can be manufactured.
  • the compound of the above formula (D-4) is a quaternary ammonium salt derived from pyridine, and R 28 is an alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, or a carbon atom. It is an aryl group of the formulas 6 to 18, and examples thereof include a butyl group, an octyl group, a benzyl group, and a lauryl group.
  • the anion (Y ⁇ ) is a halide ion such as chlorine ion (Cl ⁇ ), bromine ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulphonate (—SO 3 ⁇ ). And acid groups such as alcoholate (—O ⁇ ).
  • This compound can be obtained as a commercial product, but is produced, for example, by reacting pyridine with an alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide. can do. Examples of this compound include N-laurylpyridinium chloride and N-benzylpyridinium bromide.
  • the compound of the above formula (D-5) is a quaternary ammonium salt derived from a substituted pyridine represented by picoline and the like, and R 29 has 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms. Or an aryl group having 6 to 18 carbon atoms, and examples thereof include a methyl group, an octyl group, a lauryl group, and a benzyl group.
  • R 30 is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, and when it is, for example, a quaternary ammonium derived from picoline, R 30 is a methyl group.
  • the anion (Y ⁇ ) is a halide ion such as chlorine ion (Cl ⁇ ), bromine ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulphonate (—SO 3 ⁇ ). And acid groups such as alcoholate (—O ⁇ ).
  • This compound can be obtained as a commercial product, but for example, a substituted pyridine such as picoline is reacted with an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride or benzyl bromide, or an aryl halide. Can be manufactured. Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, N-laurylpicolinium chloride and the like.
  • the compound of the above formula (D-6) is a tertiary ammonium salt derived from an amine, m is 2 to 11, and n is an integer of 2 to 3.
  • the anion (Y ⁇ ) is a halide ion such as chlorine ion (Cl ⁇ ), bromine ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulphonate (—SO 3 ⁇ ). ), alcoholate (—O ⁇ ), and the like.
  • This compound can be produced by reacting an amine with a weak acid such as carboxylic acid or phenol. Examples of the carboxylic acid include formic acid and acetic acid.
  • the anion (Y ⁇ ) is (HCOO ⁇ ), and when acetic acid is used, the anion (Y ⁇ ) is (CH 3 COO - ). When phenol is used, the anion (Y ⁇ ) is (C 6 H 5 O ⁇ ).
  • the compound of the above formula (D-7) is a quaternary phosphonium salt having a structure of R 31 R 32 R 33 R 34 P + Y ⁇ .
  • R 31 , R 32 , R 33 , and R 34 are an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, and preferably among the four substituents of R 31 to R 34 .
  • And 3 are phenyl groups or substituted phenyl groups, and examples thereof include a phenyl group and a tolyl group, and the remaining one is an alkyl group having 1 to 18 carbon atoms and 6 to 18 carbon atoms. It is an aryl group.
  • the anion (Y ⁇ ) is a halide ion such as chlorine ion (Cl ⁇ ), bromine ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulphonate (—SO 3 ⁇ ). ), alcoholate (—O ⁇ ), and the like.
  • This compound can be obtained as a commercially available product, for example, tetra-n-butylphosphonium halide, tetra-n-propylphosphonium halide and other tetraalkylphosphonium halides, triethylbenzylphosphonium halide and other trialkylbenzyl halides.
  • Alkylphosphonium (above, a halogen atom is a chlorine atom or a bromine atom) is mentioned.
  • halogens such as triphenylmethylphosphonium halides and triphenylmonoalkylphosphonium halides such as triphenylethylphosphonium halides, triphenylmonoarylphosphonium halides such as triphenylbenzylphosphonium halides, and tritolylmonophenylphosphonium halides.
  • Preferred are tritolylmonoarylphosphonium halides and tritolylmonoalkylphosphonium halides (halogen atom is chlorine atom or bromine atom) such as tritolylmonomethylphosphonium halide.
  • phosphines methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine, primary phosphine such as phenylphosphine, dimethylphosphine, diethylphosphine, diisopropylphosphine, diisoamylphosphine, secondary phosphine such as diphenylphosphine And tertiary phosphines such as trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine and dimethylphenylphosphine.
  • the compound of the above formula (D-8) is a tertiary sulfonium salt having a structure of R 35 R 36 R 37 S + Y ⁇ .
  • R 35 , R 36 , and R 37 are each an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, and preferably two of the three substituents of R 35 to R 37 are phenyl.
  • Group or a substituted phenyl group, and examples thereof include a phenyl group and a tolyl group, and the remaining one is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms. is there.
  • the anion (Y ⁇ ) is a halide ion such as chlorine ion (Cl ⁇ ), bromine ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulphonate (—SO 3 ⁇ ). ), alcoholate (—O ⁇ ), maleate anion, nitrate anion and the like.
  • This compound can be obtained as a commercial product, for example, tri-n-butylsulfonium halide, tri-n-propylsulfonium halide and other trialkylsulfonium halides, and diethylbenzylbenzylsulfonium halide and other dialkylbenzylsulfonium halides.
  • a nitrogen-containing silane compound can be added as a curing catalyst.
  • the nitrogen-containing silane compound include imidazole ring-containing silane compounds such as N-(3-triethoxysilylpropyl)-4,5-dihydroimidazole.
  • the curing catalyst When the curing catalyst is used, it is 0.01 to 10 parts by mass, or 0.01 to 5 parts by mass, or 0.01 to 3 parts by mass with respect to 100 parts by mass of polysiloxane. ..
  • An organic acid, water, alcohol, or a combination thereof can be added as the stabilizer.
  • the organic acid include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, lactic acid and salicylic acid. Of these, oxalic acid and maleic acid are preferable.
  • the addition amount is usually 0.01 parts by mass to 5.0 parts by mass with respect to 100 parts by mass of polysiloxane, but in an embodiment, 0.1 parts by mass to 5.0 parts by mass. It is a mass part.
  • As the water pure water, ultrapure water, ion-exchanged water, or the like can be used.
  • the addition amount is 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the composition containing polysiloxane. can do.
  • the alcohol is preferably one that is easily scattered by heating after coating, and examples thereof include methanol, ethanol, propanol, isopropanol, butanol and the like.
  • the addition amount thereof can be 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the composition containing the polysiloxane.
  • the organic polymer compound is not particularly limited, and various organic polymers can be used, such as polycondensation polymer and addition polymerization polymer.
  • addition polymerization polymers and condensation polymerization polymers such as polyester, polystyrene, polyimide, acrylic polymer, methacrylic polymer, polyvinyl ether, phenol novolac, naphthol novolac, polyether, polyamide and polycarbonate can be used.
  • the addition amount thereof is 1 part by mass to 200 parts by mass, or 5 parts by mass to 100 parts by mass, or 10 parts by mass to 50 parts by mass, or 100 parts by mass of polysiloxane, or It is 20 to 30 parts by mass.
  • Examples of the photoacid generator include onium salt compounds, sulfonimide compounds, and disulfonyldiazomethane compounds.
  • Examples of the onium salt compound include diphenyliodonium hexafluorophosphate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoronormal butane sulfonate, diphenyliodonium perfluoronormal octane sulfonate, diphenyliodonium camphorsulfonate, bis(4-tert-butylphenyl)iodonium camphorsulfonate.
  • sulfonimide compound examples include N-(trifluoromethanesulfonyloxy)succinimide, N-(nonafluoronormalbutanesulfonyloxy)succinimide, N-(camphorsulfonyloxy)succinimide and N-(trifluoromethanesulfonyloxy)naphthalimide. Can be mentioned.
  • disulfonyldiazomethane compound examples include bis(trifluoromethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(phenylsulfonyl)diazomethane, bis(p-toluenesulfonyl)diazomethane, bis(2,4-dimethylbenzenesulfonyl).
  • Diazomethane methylsulfonyl-p-toluenesulfonyldiazomethane and the like.
  • the photoacid generator may be used alone or in combination of two or more.
  • its addition amount is 0.01 part by mass to 30 parts by mass, 0.01 part by mass to 15 parts by mass, or 0.1 part by mass with respect to 100 parts by mass of polysiloxane. To 10 parts by mass.
  • the above-mentioned surfactant is effective in suppressing the occurrence of pinholes and installations when the composition is applied to a substrate.
  • the surfactants include nonionic surfactants, anionic surfactants, cationic surfactants, silicon surfactants, fluorine surfactants, UV-curable surfactants and the like. More specifically, for example, polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, and other polyoxyethylene alkyl ethers, polyoxyethylene octylphenol ether, polyoxyethylene nonylphenol.
  • Polyoxyethylene alkylaryl ethers such as ethers, polyoxyethylene/polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate, sorbitan tristearate
  • Polyoxyethylene such as sorbitan fatty acid esters, polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate, etc.
  • Nonionic surfactants such as sorbitan fatty acid esters, trade name F Top (registered trademark) EF301, EF303, EF352 (manufactured by Mitsubishi Materials Electronic Chemicals Co., Ltd.), trade name Mega Fac (registered trademark) F171, F173, R- 08, R-30, R-30N, R-40LM (manufactured by DIC Co., Ltd.), Florard FC430, FC431 (manufactured by 3M Japan Co., Ltd.), trade name Asahi Guard (registered trademark) AG710 (manufactured by Asahi Glass Co., Ltd.) , Surflon (registered trademark) S-382, SC101, SC102, SC103, SC104, SC105, SC106 (manufactured by AGC Seimi Chemical Co., Ltd.) and organosiloxane polymer KP341 (Shin-Etsu Chemical Co., Ltd.) and organosiloxane polymer KP34
  • the rheology control agent mainly improves the fluidity of the composition, and particularly improves the film thickness uniformity of the silicon-containing film (resist underlayer film, thin film) formed in the baking step, and the composition inside the hole. Is added for the purpose of enhancing the filling property of.
  • phthalic acid derivatives such as dimethyl phthalate, diethyl phthalate, diisobutyl phthalate, dihexyl phthalate, and butyl isodecyl phthalate, dinormal butyl adipate, diisobutyl adipate, diisooctyl adipate, adipic acid derivatives such as octyl decyl adipate, and diphenyl phthalate.
  • Maleic acid derivatives such as normal butyl maleate, diethyl maleate and dinonyl maleate, oleic acid derivatives such as methyl oleate, butyl oleate and tetrahydrofurfuryl oleate, and stearic acid derivatives such as normal butyl stearate and glyceryl stearate. You can When these rheology control agents are used, the addition amount thereof is usually less than 30% by mass based on the total solid content of the composition.
  • the above-mentioned adhesion aid mainly improves the adhesion between the substrate or the resist and the silicon-containing film (resist underlayer film, thin film) formed from the composition, and particularly for the purpose of suppressing/preventing the peeling of the resist during development.
  • Specific examples include chlorosilanes such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, chloromethyldimethylchlorosilane, trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, dimethylvinylethoxysilane, diphenyldimethoxysilane, and phenyltriethoxy.
  • Alkoxysilanes such as silane, hexamethyldisilazane, N,N'-bis(trimethylsilyl)urea, dimethyltrimethylsilylamine, silazanes such as trimethylsilylimidazole, vinyltrichlorosilane, ⁇ -chloropropyltrimethoxysilane, ⁇ -aminopropyltriamine
  • silanes such as ethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane, benzotriazole, benzimidazole, indazole, imidazole, 2-mercaptobenzimidazole, 2-mercaptobenzothiazole, 2-mercaptobenzoxazole, urazole, thiouracil
  • a heterocyclic compound such as mercaptoimidazole and mercaptopyrimidine, urea such as 1,1-dimethylurea and 1,3-dimethylurea, or a thioure
  • the composition may be applied to a base material and then baked if necessary.
  • the composition may be applied by any method, for example, spin coating method, dipping method, flow coating method, inkjet method, spray method, bar coating method, gravure coating method, slit coating method, roll coating method, transfer printing method, A brush coating method, a blade coating method, an air knife coating method and the like can be adopted.
  • the substrate silicon, glass on which indium tin oxide (ITO) is formed, glass on which indium zinc oxide (IZO) is formed, polyethylene terephthalate (PET), plastic, glass, quartz, ceramics And the like, and a flexible base material having flexibility can also be used.
  • the composition can be filtered before use, and the effective filtering area and material of the filter material are not particularly limited, and may be filtered according to the intended product.
  • baking can be performed for the purpose of evaporating the solvent.
  • the calcination temperature is not particularly limited and may be 40 to 400° C., for example.
  • the baking may be performed in two or more stages of temperature change for the purpose of imparting high uniformity to the distribution of the film thickness and exhibiting high uniformity, and promoting the reaction of the crosslinking agent and the like on the substrate.
  • the firing method is not particularly limited, and for example, a hot plate or an oven may be used to evaporate the solvent in an appropriate atmosphere such as the atmosphere, an inert gas such as nitrogen, or a vacuum.
  • hydrolyzable silane, its hydrolyzed product, its hydrolyzed condensate, and its modified product have a resin component different from that of the resist or the like.
  • a gas species in the dry etching process by selecting a gas species in the dry etching process, a new pattern is formed by the hydrolysis-condensation product (polysiloxane) filled by selectively removing the resist and the like by dry etching.
  • substrates used in the manufacture of semiconductor devices eg, silicon wafer substrates, silicon/silicon dioxide coated substrates, silicon nitride substrates, glass substrates, ITO substrates, polyimide substrates, and low dielectric constant materials (low-k materials).
  • the resist underlayer film forming composition is applied onto a coated substrate or the like) by an appropriate application method such as a spinner or a coater, and then baked to form a resist underlayer film.
  • the firing conditions are appropriately selected from a firing temperature of 40° C. to 400° C. or 80° C. to 250° C. and a firing time of 0.3 to 60 minutes.
  • the firing temperature is preferably 150° C. to 500° C. and the firing time is 0.5 to 2 minutes.
  • the film thickness of the resist underlayer film formed is, for example, 10 to 1,000 nm, or 20 to 500 nm, or 50 to 300 nm, or 100 to 200 nm, or 10 to 100 nm. Is.
  • the organic underlayer film may be formed on the substrate, and the resist underlayer film may be formed on the organic underlayer film.
  • the organic underlayer film used here is not particularly limited and can be arbitrarily selected from those conventionally used in the lithography process.
  • a step of etching or ashing the underlayer film with a gas containing hydrogen gas is performed.
  • the gas used for the above etching or ashing treatment and the etching or ashing treatment conditions are as described above.
  • a photoresist layer (resist film) is formed on the resist underlayer film.
  • the resist film can be formed by a well-known method, that is, by coating the resist composition solution on the resist underlayer film and baking.
  • the film thickness of the resist film is, for example, 50 to 10,000 nm, or 100 to 2,000 nm, or 200 to 1,000 nm, or 30 to 200 nm.
  • the photoresist used for the resist film formed on the resist underlayer film is not particularly limited as long as it is sensitive to the light used for exposure. Both negative and positive photoresists can be used. Positive photoresist consisting of novolak resin and 1,2-naphthoquinonediazide sulfonic acid ester, chemically amplified photoresist consisting of a binder having a group that decomposes by an acid to increase the alkali dissolution rate, and a photo-acid generator, and an acid
  • a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), an F2 excimer laser (wavelength 157 nm), or the like can be used.
  • post-exposure heating post exposure bake
  • the post-exposure heating is performed under conditions appropriately selected from a heating temperature of 70° C. to 150° C. and a heating time of 0.3 to 10 minutes.
  • an electron beam lithography resist or an EUV resist can be used instead of the photoresist.
  • the electron beam resist either a negative type or a positive type can be used.
  • Chemically amplified resist consisting of an acid generator and a binder having a group that decomposes by acid to change the alkali dissolution rate, a low-molecular compound that decomposes by an alkali-soluble binder, acid generator and acid to change the alkali dissolution rate of the resist A chemically amplified resist consisting of an acid generator and a binder having a group that decomposes with an acid to change the alkali dissolution rate, and a chemically amplified resist composed of a low molecular weight compound that decomposes with an acid to change the alkali dissolution rate of the resist, There are non-chemically amplified resists made of a binder having a group that decomposes by an electron beam to change the alkali
  • a developing solution for example, an alkaline developing solution
  • a developer for example, an alkaline developing solution
  • an alkali developer an aqueous solution of an alkali metal hydroxide such as potassium hydroxide or sodium hydroxide, an aqueous solution of quaternary ammonium hydroxide such as tetramethylammonium hydroxide, tetraethylammonium hydroxide or choline, ethanol
  • an alkaline aqueous solution such as an amine aqueous solution of amine, propylamine, or ethylenediamine can be used.
  • a surfactant or the like can be added to these developers.
  • the development conditions are appropriately selected from a temperature of 5 to 50° C. and a time of 10 to 600 seconds.
  • an organic solvent can be used as a developing solution, and development is carried out by a developing solution (solvent) after exposure.
  • a developing solution solvent
  • the photoresist in the unexposed portion is removed and a photoresist pattern is formed.
  • the developing solution for example, methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxyacetate, ethyl ethoxyacetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, Ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monobutyl ether acetate Ethyl ether acetate, 2-methoxybutyl acetate, 2-meth
  • the resist underlayer film is removed by using the pattern of the resist film thus formed as a protective film.
  • the resist underlayer film is removed by dry etching, and tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen.
  • Gases such as nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride and chlorine trifluoride, chlorine, trichloroborane and dichloroborane can be used.
  • the organic underlayer film When the organic underlayer film is provided between the substrate and the resist underlayer film, the organic underlayer film can be removed by using the film composed of the patterned resist film and the patterned resist underlayer film as a protective film. Done.
  • the organic underlayer film is preferably formed by dry etching with an oxygen-based gas.
  • the semiconductor substrate is processed by using the film composed of the patterned resist film and the patterned resist underlayer film (optionally patterned organic underlayer film) as a protective film.
  • the semiconductor substrate is preferably processed by dry etching with a fluorine-based gas.
  • the fluorine-based gas include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, and difluoromethane (CH 2 F 2 ).
  • CF 4 tetrafluoromethane
  • C 4 F 8 perfluorocyclobutane
  • C 3 F 8 perfluoropropane
  • CH 2 F 2 difluoromethane
  • a resist film is formed on the above-mentioned substrate by the above-described method, exposed through a predetermined mask, and developed to form a resist pattern.
  • various resists for forming a resist film, a light source used for exposure, a developing solution, and resist film forming conditions and exposure/development conditions, those described above can be adopted.
  • the resist pattern may be a pattern formed by nanoimprint.
  • a silicon-containing thin film forming composition is applied onto the resist film on which a pattern is formed during or after development, and a baked thin film is formed.
  • the firing conditions are as described above.
  • the silicon-containing thin film forming composition is embedded in the formed resist pattern.
  • etching or ashing the thin film with a gas containing hydrogen gas is performed.
  • the gas used for the above etching or ashing treatment and the etching or ashing treatment conditions are as described above.
  • the patterned resist film (resist pattern) is removed by etching to invert the pattern.
  • the resist film is removed by dry etching, and tetrafluoromethane, perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, and hexafluoride are used. Gases such as sulfur dioxide, difluoromethane, nitrogen trifluoride and chlorine trifluoride can be used.
  • a step of exposing the surface of the resist pattern by etching back the surface of the thin film formed from the above-mentioned silicon-containing thin film forming composition can be included.
  • the resist pattern surface and the surface of the thin film are matched, and due to the difference in the gas etching rate between the resist pattern and the thin film, only the resist component is removed, and the component formed from the silicon-containing thin film forming composition is Remaining, resulting in pattern inversion.
  • the etch back can be performed with a gas capable of removing the thin film (the gas used for removing the resist underlayer film described above).
  • a step of etching or ashing the surface of the thin film with a gas containing hydrogen gas may be performed, and then a step of inverting the pattern by etching away the patterned resist film may be performed.
  • the initial resist pattern is removed, and a reverse pattern of polysiloxane (polymer for pattern inversion formation) contained in the silicon-containing thin film forming composition is formed on the substrate, and the reverse pattern is used to form a semiconductor substrate. Is processed.
  • the apparatus and conditions used for analyzing the physical properties of the sample are as follows. (1) Molecular weight measurement HLC-8320 manufactured by Tosoh Corporation (2) Film thickness measurement, SC-3 made, RE-3100
  • Example 1 53.9 g of tetraethoxysilane (containing 50 mol% in total silane), 46.1 g of methyltriethoxysilane (containing 50 mol% in total silane) and 100 g of acetone were placed in a flask.
  • a dropping funnel containing 32.6 g of an aqueous hydrochloric acid solution (0.01 mol/liter) prepared by attaching a cooling tube to the flask was set, and the aqueous hydrochloric acid solution was slowly added dropwise at room temperature, followed by stirring for several minutes. Then, the mixture was reacted in an oil bath at 85°C for 4 hours.
  • reaction product polysiloxane of Example 1 (the formula (1- Polymer corresponding to 1)). Further, acetone was replaced with propylene glycol monoethyl ether by using an evaporator.
  • the solid content in the obtained reaction product was 13% by mass as a result of measurement by a firing method.
  • the molecular weight (Mw) of the obtained product (solid content) was 3,700.
  • Example 2 82.5 g of vinyltrimethoxysilane (containing 100 mol% in all silanes) and 123 g of acetone were placed in the flask.
  • reaction product polysiloxane of Example 2 (the formula (1- Polymer corresponding to 2)). Furthermore, 20 g of the prepared polymer solution was placed in a two-necked flask and reacted in an oil bath at 95° C. for 12 hours. In addition, the solid content in the obtained reaction product was 30.0 mass% as a result of measurement by a firing method. The molecular weight (Mw) of the obtained product (solid content) was 10,000.
  • Example 3 116.52 g of tetraethoxysilane (75 mol% in total silane), 33.24 g of methyltriethoxysilane (25 mol% in total silane) and 99.84 g of acetone were placed in the flask.
  • a dropping funnel containing 50.36 g of an aqueous hydrochloric acid solution (0.01 mol/liter) prepared by attaching a cooling tube was set in the flask, and the aqueous hydrochloric acid solution was slowly added dropwise at room temperature, followed by stirring for several minutes. Then, the mixture was reacted in an oil bath at 85°C for 4 hours.
  • reaction product polysiloxane
  • acetone was replaced with propylene glycol monoethyl ether by using an evaporator.
  • the solid content in the obtained reaction product was 21.12 mass% as a result of measurement by a firing method.
  • the molecular weight (Mw) of the obtained product (solid content) was 2430.
  • Example 4 22.2 g of tetraethoxysilane (containing 30 mol% in all silanes), 44.4 g of methyltriethoxysilane (containing 70 mol% in all silanes) and 100 g of acetone were placed in a 500 ml flask and placed in the flask. While stirring the mixed solution with a magnetic stirrer, 21.2 g of 0.01 mol/L hydrochloric acid was added dropwise to the mixed solution. After the dropping, the flask was transferred to an oil bath adjusted to 85° C., and the mixture was reacted for 4 hours while heating under reflux.
  • reaction solution was cooled to room temperature, 100 g of 4-methyl-2-pentanol (methylisobutylcarbinol) was added to the reaction solution, and acetone, water and hydrochloric acid, and ethanol as a reaction byproduct were depressurized from the reaction solution. After distilling off and concentrating, a solution of a cohydrolysis condensate (polysiloxane) in methylisobutylcarbinol was obtained. The solid content concentration was adjusted to be 13% by mass in terms of solid residue at 140°C. 20 mg of acetic acid was added to 15 g of the prepared polymer solution.
  • the flask was transferred to an oil bath adjusted to 150° C., and reacted under heating under reflux for 48 hours.
  • the silanol group of polysiloxane was capped with 4-methyl-2-pentanol (1,3-dimethylbutyl group).
  • a modified polysiloxane (polysiloxane of Example 4) was synthesized (a polymer corresponding to the formula (1-4) described later).
  • the weight average molecular weight Mw of the obtained product (solid content) by GPC was 5,300 in terms of polystyrene.
  • the resulting polysiloxane was a polysiloxane in which some silanol groups were capped with 4-methyl-2-pentanol.
  • Tetraethoxysilane (100.09 g (85 mol% in total silane), methyltriethoxysilane (15.08 g, 15 mol% in total silane) and acetone (172.52 g) were placed in the flask.
  • a dropping funnel containing 30.37 g of an aqueous hydrochloric acid solution (0.01 mol/liter) prepared by attaching a cooling tube was set in the flask, and the aqueous hydrochloric acid solution was slowly added dropwise at room temperature, followed by stirring for several minutes. Then, the mixture was reacted in an oil bath at 85°C for 4 hours.
  • reaction product polysiloxane
  • acetone was replaced with propylene glycol monomethyl ether using an evaporator.
  • solid content in the obtained reaction product was 19.7 mass% as a result of measuring by a calcination method.
  • Mw molecular weight
  • Example 6 As the polysiloxane of Example 6, a cage methylsilsesquioxane (trade name: SR-13, manufactured by Konishi Chemical Industry Co., Ltd.) was used (a polymer corresponding to the formula (1-6) described later).
  • Preparation Example 1-6 Preparation of polysiloxane-containing composition
  • the polysiloxanes of Examples 1 to 6 above, the curing catalyst, and the solvent were mixed in the proportions shown in Table 1 below, and then filtered through a 0.1 ⁇ m fluororesin filter to prepare the polysiloxane of Preparation Example 1-6.
  • a siloxane-containing composition was prepared.
  • the addition ratio of the polymer in Table 1 below shows the addition amount of the polymer itself, not the addition amount of the polymer solution.
  • the polysiloxane-containing compositions prepared in Preparation Examples 1 to 6 were coated on a silicon wafer using a spinner. On a hot plate, each was heated for 1 minute under the conditions of Table 2 to obtain cured films (Si-containing composition films) 1 to 6. Table 2 also shows the thickness of each cured film obtained. Then, H 2 /N 2 etching or H 2 /He ashing was performed on each cured film under the conditions shown in Table 3 and the following conditions related to the apparatus and the like.
  • the Cl 2 dry etching rate was measured for the film subjected to the above-mentioned etching treatment or ashing treatment under the following conditions regarding the apparatus and the like (Example 1-1 to Example 1-6: H 2 /N 2 ). Cl 2 dry etching rate for etching processed film, Example 2-1 to Example 2-6: Cl 2 dry etching rate for H 2 /He ashing processed film). In addition, the Cl 2 dry etching rate was similarly measured for the film not subjected to H 2 /N 2 etching or H 2 /He ashing (etching/ashing untreated film) (Reference Examples 1 to 7: Cl 2 dry etching rate for the treated film). The results obtained are shown in Table 4. Also, it is shown in Table 4 together with respect to Cl 2 dry etching rate of the etching / ashing untreated membrane, the reduction rate of the Cl 2 dry etching rate of the etching / ashing process film.
  • the etching resistance of the halogen-containing gas is improved by the fact that the silicon-containing film (polysiloxane) undergoes some chemical/physical structural change due to the etching/ashing treatment with the hydrogen gas-containing gas. It is presumed as one of the reasons.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Silicon Polymers (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Le problème décrit par la présente invention est de fournir un nouveau procédé pour améliorer la résistance à la gravure au gaz contenant de l'halogène. La solution selon l'invention porte sur un procédé pour améliorer la résistance à la gravure au gaz contenant de l'halogène d'un film contenant du silicium, lequel procédé comprend une étape consistant à effectuer, avant la gravure au gaz contenant de l'halogène, une gravure ou une calcination de film contenant du silicium à l'aide d'un gaz contenant du gaz hydrogène.
PCT/JP2019/050644 2018-12-28 2019-12-24 Procédé d'amélioration de la résistance à la gravure d'un film de sous-couche de réserve par prétraitement à l'aide de gaz hydrogène WO2020138092A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018247753A JP2022037944A (ja) 2018-12-28 2018-12-28 水素ガスを用いた前処理によるレジスト下層膜のエッチング耐性を向上する方法
JP2018-247753 2018-12-28

Publications (1)

Publication Number Publication Date
WO2020138092A1 true WO2020138092A1 (fr) 2020-07-02

Family

ID=71129338

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2019/050644 WO2020138092A1 (fr) 2018-12-28 2019-12-24 Procédé d'amélioration de la résistance à la gravure d'un film de sous-couche de réserve par prétraitement à l'aide de gaz hydrogène

Country Status (3)

Country Link
JP (1) JP2022037944A (fr)
TW (1) TW202040636A (fr)
WO (1) WO2020138092A1 (fr)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022114132A1 (fr) * 2020-11-27 2022-06-02 日産化学株式会社 Composition de formation de film de sous-couche de photorésine contenant du silicium
WO2022210944A1 (fr) * 2021-03-31 2022-10-06 日産化学株式会社 Composition de formation de film de sous-couche de réserve contenant du silicium
WO2023008507A1 (fr) * 2021-07-29 2023-02-02 日産化学株式会社 Composition pour former un film de sous-couche de réserve contenant du silicium, et film de sous-couche de réserve contenant du silicium
WO2023037979A1 (fr) * 2021-09-07 2023-03-16 日産化学株式会社 Composition de formation de film de sous-couche de réserve contenant du silicium, corps multicouche employant ladite composition et procédé de production d'élément semi-conducteur
WO2023136250A1 (fr) * 2022-01-12 2023-07-20 日産化学株式会社 Composition pour former un film de sous-couche de réserve contenant du silicium, et film de sous-couche de réserve contenant du silicium

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07161689A (ja) * 1993-12-03 1995-06-23 Sony Corp ドライエッチング方法
JP2000031118A (ja) * 1998-07-08 2000-01-28 Toshiba Corp パターン形成方法
JP2000221699A (ja) * 1999-02-04 2000-08-11 Toshiba Corp 膜の処理方法
CN1414612A (zh) * 2002-07-19 2003-04-30 上海华虹(集团)有限公司 抗反射膜SiON表面氢等离子体处理方法
JP2004273940A (ja) * 2003-03-11 2004-09-30 Semiconductor Leading Edge Technologies Inc パターン形成方法およびパターン形成装置
JP2006024641A (ja) * 2004-07-06 2006-01-26 Renesas Technology Corp 半導体装置およびその製造方法
JP2013506313A (ja) * 2009-09-29 2013-02-21 東京エレクトロン株式会社 基板上のシリコン含有反射防止コーティング層の再加工方法
JP2014157301A (ja) * 2013-02-18 2014-08-28 Shin Etsu Chem Co Ltd パターン形成方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07161689A (ja) * 1993-12-03 1995-06-23 Sony Corp ドライエッチング方法
JP2000031118A (ja) * 1998-07-08 2000-01-28 Toshiba Corp パターン形成方法
JP2000221699A (ja) * 1999-02-04 2000-08-11 Toshiba Corp 膜の処理方法
CN1414612A (zh) * 2002-07-19 2003-04-30 上海华虹(集团)有限公司 抗反射膜SiON表面氢等离子体处理方法
JP2004273940A (ja) * 2003-03-11 2004-09-30 Semiconductor Leading Edge Technologies Inc パターン形成方法およびパターン形成装置
JP2006024641A (ja) * 2004-07-06 2006-01-26 Renesas Technology Corp 半導体装置およびその製造方法
JP2013506313A (ja) * 2009-09-29 2013-02-21 東京エレクトロン株式会社 基板上のシリコン含有反射防止コーティング層の再加工方法
JP2014157301A (ja) * 2013-02-18 2014-08-28 Shin Etsu Chem Co Ltd パターン形成方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022114132A1 (fr) * 2020-11-27 2022-06-02 日産化学株式会社 Composition de formation de film de sous-couche de photorésine contenant du silicium
WO2022210944A1 (fr) * 2021-03-31 2022-10-06 日産化学株式会社 Composition de formation de film de sous-couche de réserve contenant du silicium
WO2023008507A1 (fr) * 2021-07-29 2023-02-02 日産化学株式会社 Composition pour former un film de sous-couche de réserve contenant du silicium, et film de sous-couche de réserve contenant du silicium
WO2023037979A1 (fr) * 2021-09-07 2023-03-16 日産化学株式会社 Composition de formation de film de sous-couche de réserve contenant du silicium, corps multicouche employant ladite composition et procédé de production d'élément semi-conducteur
WO2023136250A1 (fr) * 2022-01-12 2023-07-20 日産化学株式会社 Composition pour former un film de sous-couche de réserve contenant du silicium, et film de sous-couche de réserve contenant du silicium

Also Published As

Publication number Publication date
TW202040636A (zh) 2020-11-01
JP2022037944A (ja) 2022-03-10

Similar Documents

Publication Publication Date Title
JP5679129B2 (ja) 窒素含有環を有するシリコン含有レジスト下層膜形成組成物
JP5862894B2 (ja) 保護された脂肪族アルコールを含有する有機基を有するシリコン含有レジスト下層膜形成組成物
JP6788222B2 (ja) 架橋反応性シリコン含有膜形成組成物
KR102426414B1 (ko) Soc 패턴 상에서의 패턴반전을 위한 피복용 조성물
JP5590354B2 (ja) アミック酸を含むシリコン含有レジスト下層膜形成組成物
JP6436301B2 (ja) エステル基を有するシリコン含有レジスト下層膜形成組成物
WO2011033965A1 (fr) Composition à base de silicium ayant un groupe sulfamide pour former une sous-couche de réserve
JP6694162B2 (ja) ハロゲン含有カルボン酸アミド基を有する加水分解性シランを含むリソグラフィー用レジスト下層膜形成組成物
JP6835062B2 (ja) シリコン含有組成物を用いた半導体基板の平坦化方法
KR102478337B1 (ko) 패턴반전을 위한 피복 조성물
WO2020138092A1 (fr) Procédé d'amélioration de la résistance à la gravure d'un film de sous-couche de réserve par prétraitement à l'aide de gaz hydrogène
WO2016009965A1 (fr) Composition permettant de former une sous-couche de réserve comprenant du silicium et présentant un groupe organique contenant une structure aliphatique polycyclique
WO2012102261A1 (fr) Composition permettant de former des films de sous-couche de réserve qui contiennent du silicium portant un groupe organique comportant une structure dicétone
JP7143763B2 (ja) シリコン含有パターン反転用被覆剤
JP7157392B2 (ja) アルカリ性現像液可溶性シリコン含有レジスト下層膜形成組成物
JP2022157637A (ja) シリコン含有平坦化性パターン反転用被覆剤

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19901992

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19901992

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: JP