WO2017223541A1 - Silicon nitride process for reduction of threshold shift - Google Patents

Silicon nitride process for reduction of threshold shift Download PDF

Info

Publication number
WO2017223541A1
WO2017223541A1 PCT/US2017/039150 US2017039150W WO2017223541A1 WO 2017223541 A1 WO2017223541 A1 WO 2017223541A1 US 2017039150 W US2017039150 W US 2017039150W WO 2017223541 A1 WO2017223541 A1 WO 2017223541A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon nitride
nitride layer
nitrogen
rich
rich silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
PCT/US2017/039150
Other languages
English (en)
French (fr)
Inventor
Nicholas Stephen DELLAS
Naveen Tipirneni
Dong Seup LEE
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Japan Ltd
Texas Instruments Inc
Original Assignee
Texas Instruments Japan Ltd
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Japan Ltd, Texas Instruments Inc filed Critical Texas Instruments Japan Ltd
Priority to CN201780031286.1A priority Critical patent/CN109219887B/zh
Priority to JP2018567698A priority patent/JP7121237B2/ja
Publication of WO2017223541A1 publication Critical patent/WO2017223541A1/en
Anticipated expiration legal-status Critical
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/40FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels
    • H10D30/47FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels having 2D charge carrier gas channels, e.g. nanoribbon FETs or high electron mobility transistors [HEMT]
    • H10D30/471High electron mobility transistors [HEMT] or high hole mobility transistors [HHMT]
    • H10D30/475High electron mobility transistors [HEMT] or high hole mobility transistors [HHMT] having wider bandgap layer formed on top of lower bandgap active layer, e.g. undoped barrier HEMTs such as i-AlGaN/GaN HEMTs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/66Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
    • H10D64/68Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
    • H10D64/681Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator having a compositional variation, e.g. multilayered
    • H10D64/685Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator having a compositional variation, e.g. multilayered being perpendicular to the channel plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/66Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
    • H10D64/68Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
    • H10D64/693Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator the insulator comprising nitrogen, e.g. nitrides, oxynitrides or nitrogen-doped materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/80Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials
    • H10D62/85Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials being Group III-V materials, e.g. GaAs
    • H10D62/8503Nitride Group III-V materials, e.g. AlN or GaN

Definitions

  • This relates generally to semiconductor devices, and more particularly to field effect transistors in semiconductor devices.
  • a field effect transistor operates by applying a potential to the gate of the transistor, which changes the density of charge carriers in the channel of the transistor.
  • the threshold potential of the transistor may be understood as the gate potential at which the transistor changes from the off state, in which minimal current flows through the channel, to the on state, in which a pre-defined current flows through the channel. Reliable operation of the transistor depends on the threshold potential remaining constant during the operational lifetime of the transistor. Charges tend to accumulate between the gate and the channel, in the gate dielectric layer, and thus adversely affect the reliability by shifting the threshold potential. Charge accumulation is particularly problematic in gate dielectric layers which include silicon nitride.
  • a semiconductor device includes a FET with a gate dielectric layer over a semiconductor region of the semiconductor device, and a gate over the gate dielectric layer.
  • the gate dielectric layer includes a layer of nitrogen-rich silicon nitride immediately over the semiconductor region, and under the gate.
  • FIG. 1 is a cross section of an example semiconductor device.
  • FIG. 2 A through FIG. 2E are cross sections of the semiconductor device of FIG. 1, depicting stages of an example method of formation.
  • FIG. 3 is a flowchart of an example method for forming a semiconductor device including a FET.
  • FIG. 4 A and FIG. 4B are cross sections of the semiconductor device of FIG. 1, depicting stages of another example method of formation for the N-rich layer. DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS
  • a semiconductor device includes a FET with a gate dielectric layer over a region for a channel in a semiconductor region of the semiconductor device, and a gate over the gate dielectric layer.
  • the channel is an inversion layer in the semiconductor region under the gate. If the FET is an enhancement mode device, the channel generally does not exist when the semiconductor device is unpowered and not being operated. If the FET is a depletion mode device, the channel generally exists when the semiconductor device is unpowered and not being operated. For the purpose of improving the readability of this description, the region for the channel will hereinafter be referred to as the channel, even in cases in which the semiconductor device is unpowered and not being operated. The channel may exist for the particular semiconductor device being described only when the semiconductor device is being operated.
  • the channel may be developed in a group III-V semiconductor material such as gallium nitride or an alloy semiconductor material of gallium nitride and aluminum nitride.
  • the gate dielectric layer includes a layer of nitrogen-rich silicon nitride that is positioned immediately over the channel, and under the gate.
  • the gate dielectric layer may also include a layer of silicon-rich silicon nitride over the layer of nitrogen-rich silicon nitride, and the gate dielectric layer is positioned under the gate.
  • FIG. 1 is a cross section of an example semiconductor device 100.
  • the semiconductor device 100 is developed on a substrate 102 having a semiconductor region 104.
  • the semiconductor device 100 includes a FET 106.
  • the semiconductor region 104 may include group III-V semiconductor material such as gallium nitride or an alloy semiconductor material of gallium nitride and aluminum nitride.
  • group III-V semiconductor material such as gallium nitride or an alloy semiconductor material of gallium nitride and aluminum nitride.
  • Other semiconductor materials such as other group III-V semiconductors, group II- VI semiconductors or possibly Group IV semiconductors, are within the scope of this example.
  • an optional stressor layer 108 comprising one or more sub-layers of group III-V material may be formed over the semiconductor region 104.
  • the stressor layer 108 may be used for inducing piezoelectric stress in the semiconductor region 104, and potentially for other purposes, such as providing isolation between a two-dimensional electron gas in the semiconductor region 104 and a gate 112.
  • the optional stressor layer 108 may be a part of the substrate 102.
  • any native oxide layers on the substrate 102 may be developed onto the substrate 102.
  • the FET 106 may be a depletion mode device or an enhancement mode device.
  • the FET 106 includes a gate dielectric layer 110 disposed over the substrate 102.
  • the gate 112 is disposed over the gate dielectric layer 110.
  • a channel 114 is located in the semiconductor region 104 under the gate dielectric layer 110.
  • An isolation dielectric layer 116 may be disposed over the substrate 102 outside of the channel 114; lateral boundaries of the isolation dielectric layer 116 may define a lateral area for the channel 114.
  • the gate dielectric layer 110 and the gate 112 may extend partway over the isolation dielectric layer 1 16, further on a drain side than on a source side, as depicted in FIG. 1, to serve as a field plate adjacent to the channel 114.
  • An interconnect dielectric layer 118 may be disposed over the gate 112 to isolate the gate 112 from other interconnects of the semiconductor device 100 such as source and drain contacts.
  • the gate dielectric layer 110 includes a nitrogen-rich layer of silicon nitride 120, herein after referred to as the N-rich layer 120 disposed immediately over the substrate 102 in the area over the channel 114.
  • a silicon-to-nitrogen atomic ratio of a silicon nitride layer may be characterized by an index of refraction. The index of refraction may be measured at a wavelength of 630 nanometers to 635 nanometers.
  • Stoichiometric silicon nitride may have an example silicon-to-nitrogen atomic ratio of about 0.75, within a margin of less than 1 percent.
  • the N-rich layer 120 may have an index of refraction that is 0.015 to 0.030 less than an index of refraction of stoichiometric silicon nitride material. Such an N-rich layer 120 has been shown to be an effective for reducing charge accumulation.
  • the N-rich layer 120 may be 5 nanometers to 20 nanometers thick, which has been shown to be an effective thickness for reducing charge accumulation.
  • a hydrogen content, expressed in atomic fraction, of the N-rich layer 120 may be less than 10 percent, which may advantageously further reduce charge accumulation.
  • the gate dielectric layer 110 may further include an optional silicon rich layer of silicon nitride 122, hereinafter referred to as the Si-rich layer 122, disposed over the N-rich layer 120.
  • the Si-rich layer 122 may have an index of refraction that is 0.025 to 0.040 more than the index of refraction of the stoichiometric silicon nitride material.
  • the Si-rich layer 122 may be 5 nanometers to 20 nanometers thick, which has been shown to be an effective thickness for further reducing charge accumulation when disposed over the N-rich layer 120.
  • a hydrogen content of the Si-rich layer 122 may also be less than 10 percent.
  • the gate dielectric layer 110 may further include an optional threshold adjust dielectric layer 124 disposed over the N-rich layer 120 and over the Si-rich layer 122 if present, to provide a desired threshold potential for the FET 106.
  • the threshold adjust dielectric layer 124 may include stoichiometric silicon nitride, or silicon dioxide, or other dielectric material.
  • the FET 106 includes source and drain regions (not shown in FIG. 1) that are positioned on opposite ends of the channel 114.
  • the semiconductor device 100 includes interconnects such as metal contacts and metal lines through the interconnect dielectric layer 118 to provide electrical connections to the gate 112 and the source and drain regions.
  • FIG. 2A through FIG. 2E are cross sections of the semiconductor device of FIG. 1, depicting stages of an example method of formation.
  • the isolation dielectric layer 116 is formed over the substrate 102 before forming the gate dielectric layer 110.
  • the isolation dielectric layer 116 may be formed by forming a layer of silicon dioxide over the substrate 102, and then forming an isolation mask over the layer of silicon dioxide to expose the layer of silicon dioxide in the area for the channel 114 of FIG. 1.
  • the layer of silicon dioxide is etched in the area exposed by the isolation mask, and the isolation mask is subsequently removed.
  • the semiconductor device 100 is placed in a first low pressure chemical vapor deposition (LPCVD) chamber 126, possibly with a plurality of similar substrates.
  • the semiconductor device 100 is heated to a temperature of 600 °C to 740 °C.
  • Dichlorosilane is flowed into the first LPCVD chamber 126 at a flow rate of 10 standard cubic centimeters per minute (seem) to 80 seem, using a first dichlorosilane (DCS) flow controller 128.
  • Ammonia is flowed into the first LPCVD chamber 126 at a flow rate of 6 to 12 times the flow rate of the dichlorosilane, using a first ammonia (NH 3 ) flow controller 130.
  • a pressure in the first LPCVD chamber 126 is maintained at 100 millitorr to 500 millitorr.
  • the flow rates of the dichlorosilane and the ammonia described in this example apply to 200 millimeter substrates run in a batch of 60 wafers to 150 wafers. The flow rates may be varied for other size substrates and batch loads, while the ratio of the dichlorosilane and ammonia flow rates is maintained.
  • the dichlorosilane and the ammonia react on the semiconductor device 100 to form the N-rich layer 120. Flows of the dichlorosilane and the ammonia may be continued for a predetermined time to attain a desired thickness of the N-rich layer 120, after which the flows are discontinued.
  • a thickness of the N-rich layer 120 may be monitored to determine an appropriate time to discontinue the flows.
  • Other methods of process control for formation of the N-rich layer 120 are within the scope of this example.
  • the N-rich layer 120 may maintained in a low pressure ambient substantially free of oxidizing reagents, such as oxygen or nitrous oxide, to prevent oxidation of a top surface of the N-rich layer 120.
  • the semiconductor device 100 is placed in a second LPCVD chamber 132, which may be the first LPCVD chamber 126 of FIG. 2A.
  • the semiconductor device 100 is heated to a temperature of 780 °C to 900 °C.
  • Dichlorosilane is flowed into the second LPCVD chamber 132 at a flow rate of 40 seem to 100 seem, using a second DCS flow controller 134, which may be the first DCS flow controller 128 of FIG. 2A.
  • Ammonia is flowed into the second LPCVD chamber 132 at a flow rate of 3 to 6 times the flow rate of the dichlorosilane, using a second NH 3 flow controller 136, which may be the first NH 3 controller 130 of FIG. 2A.
  • a pressure in the second LPCVD chamber 132 is maintained at 100 millitorr to 500 millitorr.
  • the dichlorosilane and the ammonia react on the N-rich layer 120 to form the Si-rich layer 122. Flows of the dichlorosilane and the ammonia may be continued for a predetermined time or may be endpointed, as described in reference to FIG. 2A.
  • the Si-rich layer 122 may maintained in a low pressure ambient substantially free of any oxidizing reagent. Using the same LPCVD chamber 132 for forming the N-rich layer 120 and the Si-rich layer 122 may advantageously reduce process complexity for forming the semiconductor device 100.
  • the semiconductor device 100 is placed in a third LPCVD chamber 138, which may be the first LPCVD chamber 126 of FIG. 2A and/or the second LPCVD chamber 132 of FIG. 2B.
  • the semiconductor device 100 is heated to a temperature of 740 °C to 780 °C.
  • Dichlorosilane is flowed into the third LPCVD chamber 138 at a flow rate of 30 seem to 120 seem, using a third DCS flow controller 140, which may be the first DCS flow controller 128 of FIG. 2A and/or the second DCS flow controller 134 of FIG. 2B.
  • Ammonia is flowed into the third LPCVD chamber 138 at a flow rate of 8 to 12 times the flow rate of the dichlorosilane, using a third NH 3 flow controller 142, which may be the first NH 3 controller 130 of FIG. 2A and/or the second H 3 flow controller 136 of FIG. 2B.
  • a pressure in the third LPCVD chamber 138 is maintained at 100 millitorr to 500 millitorr.
  • the dichlorosilane and the ammonia react on the Si-rich layer 122 to form the stoichiometric silicon nitride layer 124. Flows of the dichlorosilane and the ammonia may be continued for a predetermined time or may be endpointed, as described in reference to FIG.
  • Using the same LPCVD chamber 138 for forming the stoichiometric silicon nitride layer 124 and the N-rich layer 120 and the Si-rich layer 122 may advantageously further reduce process complexity for forming the semiconductor device 100.
  • a layer of gate material 144 is formed over the gate dielectric layer 110.
  • the layer of gate material 144 may include sub-layers of different metals, such as to provide adhesion, a desired work function and a desired sheet resistance.
  • the layer of gate material 144 may include titanium, titanium nitride and aluminum.
  • the layer of gate material 144 may be formed by a sputter process, an evaporation process, and/or an atomic layer deposition (ALD) process.
  • a gate mask 146 is formed over the layer of gate material 144 which covers an area for the gate 112 of FIG. 1.
  • the gate mask 146 may extend partway over the isolation dielectric layer 116, as depicted in FIG. 2D, to provide the field plate functionality described in reference to FIG. 1.
  • the gate mask 146 may include photoresist, formed by a photolithographic process, and may include anti-reflection material such as an organic bottom anti -reflection coat (BARC).
  • BARC organic bottom anti -reflection coat
  • gate material of the layer of gate material 144 of FIG. 2D is removed where exposed by the gate mask 146, leaving the gate material under the gate mask 146 to form the gate 112.
  • the gate material may be removed from the layer of gate material 144 by a wet etch process, producing sloped sides on the gate 112 as depicted in FIG. 2E.
  • a wet etch process may have a desired etch selectivity to the gate dielectric layer 110.
  • the gate material may be removed by a plasma etch process such as a reactive ion etch (RIE) process.
  • RIE reactive ion etch
  • the gate mask 146 is subsequently removed, such as by an asher process, followed by a wet clean process.
  • FIG. 3 is a flowchart of an example method for forming a semiconductor device including a FET.
  • Operation 300 is to provide a semiconductor substrate.
  • the semiconductor substrate may be a wafer with multiple areas for similar semiconductor devices.
  • the semiconductor substrate may include several epitaxial layers to provide a desired channel region for the FET.
  • Operation 302 is to form a nitrogen-rich silicon nitride layer, hereinafter the N-rich layer, of a gate dielectric layer on the semiconductor substrate.
  • the N-rich layer may formed at a lower temperature than a stoichiometric silicon nitride layer.
  • a ratio of a flow rate of a nitrogen-containing reagent to a flow rate of a silicon-containing reagent may be higher than for the stoichiometric silicon nitride layer.
  • Optional operation 304 is to form a silicon-rich silicon nitride layer, hereinafter the Si-rich layer, of the gate dielectric layer on the N-rich layer.
  • the Si-rich layer may be formed at a temperature similar to the temperature for forming the stoichiometric silicon nitride layer.
  • a ratio of a flow rate of a nitrogen-containing reagent to a flow rate of a silicon-containing reagent may be lower than for the stoichiometric silicon nitride layer.
  • Optional operation 306 is to form a stoichiometric silicon nitride layer of the gate dielectric layer over the N-rich layer, on the Si-rich layer if present.
  • the stoichiometric silicon nitride layer may be formed using the same nitrogen-containing reagent and silicon-containing reagent as used to form the N-rich layer.
  • Operation 308 is to form a gate over the gate dielectric layer.
  • the gate may extend past a channel region to provide a field plate functionality.
  • FIG. 4 A and FIG. 4B are cross sections of the semiconductor device of FIG. 1, depicting stages of another example method of formation for the N-rich layer.
  • the semiconductor device 100 is placed in an ALD chamber 148, possibly with a plurality of similar substrates.
  • the semiconductor device 100 is heated to a temperature of about 375 °C.
  • Tetrachlorosilane is flowed into the first LPCVD chamber, using an ALD tetrachlorosilane flow controller 150, to provide a pressure of about 170 millitorr.
  • Tetrachlorosilane molecules are adsorbed on the semiconductor device 100 to form an adsorbed layer of silicon-containing reagents. Flow of the tetrachlorosilane is discontinued after the adsorbed layer of silicon-containing reagents is formed.
  • the semiconductor device 100 is heated to a temperature of about 550 °C in the ALD chamber 148.
  • the ALD chamber 148 may encompass two separate deposition regions, held at different temperatures.
  • Ammonia is flowed into the ALD chamber 148 using an ALD ammonia flow controller 152, to provide a pressure in the ALD chamber 148 of about 300 millitorr.
  • Ammonia molecules adsorb on the semiconductor device 100 and react with the adsorbed layer of silicon-containing reagents to form a portion of the N-rich layer 120.
  • the operations described in reference to FIG. 4A and FIG. 4B are repeated to form the full N-rich layer 120. Depending on a desired thickness of the N-rich layer 120, the operations described in reference to FIG. 4A and FIG. 4B may repeated, such as 30 times to 120 times. After the full N-rich layer 120 is formed, formation of the semiconductor device 100 may proceed, such as described in reference to FIG. 2B through FIG. 2E.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
PCT/US2017/039150 2016-06-23 2017-06-23 Silicon nitride process for reduction of threshold shift Ceased WO2017223541A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201780031286.1A CN109219887B (zh) 2016-06-23 2017-06-23 用于减少阈值移位的氮化硅工艺
JP2018567698A JP7121237B2 (ja) 2016-06-23 2017-06-23 閾値シフトの低減のためのシリコン窒化プロセス

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/191,500 US9741557B1 (en) 2016-06-23 2016-06-23 Silicon nitride process for reduction of threshold shift
US15/191,500 2016-06-23

Publications (1)

Publication Number Publication Date
WO2017223541A1 true WO2017223541A1 (en) 2017-12-28

Family

ID=59581289

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2017/039150 Ceased WO2017223541A1 (en) 2016-06-23 2017-06-23 Silicon nitride process for reduction of threshold shift

Country Status (4)

Country Link
US (1) US9741557B1 (enExample)
JP (1) JP7121237B2 (enExample)
CN (1) CN109219887B (enExample)
WO (1) WO2017223541A1 (enExample)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021040860A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Nitrogen-rich silicon nitride films for thin film transistors
US11819847B2 (en) 2020-07-20 2023-11-21 Applied Materials, Inc. Nanofluidic device with silicon nitride membrane

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016066641A (ja) * 2014-09-22 2016-04-28 株式会社東芝 半導体装置及び半導体装置の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080203541A1 (en) * 2007-02-22 2008-08-28 Fujitsu Limited Semiconductor device and manufacturing method of the same
US20110298060A1 (en) * 2010-06-02 2011-12-08 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US8354312B2 (en) * 2008-02-22 2013-01-15 Sumitomo Electric Device Innovations, Inc. Semiconductor device fabrication method
US20150145004A1 (en) * 2013-11-28 2015-05-28 Renesas Electronics Corporation Semiconductor device and a method for manufacturing a semiconductor device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972804A (en) * 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
US6709928B1 (en) * 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP2004111447A (ja) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
CN102915952B (zh) * 2011-08-04 2014-11-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103632968B (zh) * 2012-08-21 2016-10-05 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9614105B2 (en) * 2013-04-22 2017-04-04 Cypress Semiconductor Corporation Charge-trap NOR with silicon-rich nitride as a charge trap layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080203541A1 (en) * 2007-02-22 2008-08-28 Fujitsu Limited Semiconductor device and manufacturing method of the same
US8354312B2 (en) * 2008-02-22 2013-01-15 Sumitomo Electric Device Innovations, Inc. Semiconductor device fabrication method
US20110298060A1 (en) * 2010-06-02 2011-12-08 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US20150145004A1 (en) * 2013-11-28 2015-05-28 Renesas Electronics Corporation Semiconductor device and a method for manufacturing a semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021040860A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Nitrogen-rich silicon nitride films for thin film transistors
US11037851B2 (en) 2019-08-30 2021-06-15 Applied Materials, Inc. Nitrogen-rich silicon nitride films for thin film transistors
US11699628B2 (en) 2019-08-30 2023-07-11 Applied Materials, Inc. Nitrogen-rich silicon nitride films for thin film transistors
US12094796B2 (en) 2019-08-30 2024-09-17 Applied Materials, Inc. Nitrogen-rich silicon nitride films for thin film transistors
TWI856128B (zh) * 2019-08-30 2024-09-21 美商應用材料股份有限公司 保護膜堆疊、使用其之薄膜電晶體以及用以沈積氮化矽材料之方法
TWI898792B (zh) * 2019-08-30 2025-09-21 美商應用材料股份有限公司 保護膜堆疊、使用其之薄膜電晶體以及用以沈積氮化矽材料之方法
US11819847B2 (en) 2020-07-20 2023-11-21 Applied Materials, Inc. Nanofluidic device with silicon nitride membrane

Also Published As

Publication number Publication date
JP2019519937A (ja) 2019-07-11
CN109219887A (zh) 2019-01-15
JP7121237B2 (ja) 2022-08-18
US9741557B1 (en) 2017-08-22
CN109219887B (zh) 2022-11-01

Similar Documents

Publication Publication Date Title
JP7434679B2 (ja) ノーマリーオフiii-窒化物トランジスタ
US9853166B2 (en) Perfectly symmetric gate-all-around FET on suspended nanowire
US7453107B1 (en) Method for applying a stress layer to a semiconductor device and device formed therefrom
US8420473B2 (en) Replacement gate devices with barrier metal for simultaneous processing
US20130200518A1 (en) Devices Including Metal-Silicon Contacts Using Indium Arsenide Films and Apparatus and Methods
US11798984B2 (en) Seamless gap fill
US9711416B2 (en) Fin field effect transistor including a strained epitaxial semiconductor shell
US10510840B2 (en) GAA FET with u-shaped channel
US20230377898A1 (en) Methods for reducing scratch defects in chemical mechanical planarization
CN106030760B (zh) 利用锗凝缩工艺的基板制造方法及利用其的半导体元件的制造方法
CN108735604B (zh) 晶体管的形成方法
US8692332B2 (en) Strained-silicon transistor and method of making the same
JP2016522982A (ja) モノリシック集積回路(mmic)構造およびかかる構造を形成することに関する方法
KR20100014885A (ko) 실리콘/게르마늄 손실을 줄이면서 트랜지스터들 내에 실리콘/게르마늄 함유 드레인/소스 영역들을 형성하는 방법
US20210234035A1 (en) Transistor manufacturing method and gate-all-around device structure
US9741557B1 (en) Silicon nitride process for reduction of threshold shift
US20210036152A1 (en) Method for Fabricating a Semiconductor Device
KR20120068692A (ko) 반도체 소자 및 그 제조 방법
TWI606516B (zh) 半導體裝置及其形成方法
CN108574009B (zh) 鳍式场效应管及其形成方法
US20160042966A1 (en) Method of removing oxide on semiconductor surface by layer of sulfur
US8062973B2 (en) Semiconductor device manufacturing method
US9472460B1 (en) Uniform depth fin trench formation
JP2006093242A (ja) 半導体装置の製造方法
US8105925B2 (en) Method for forming an insulated gate field effect device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17816360

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2018567698

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17816360

Country of ref document: EP

Kind code of ref document: A1