WO2017216065A1 - Dispositif et procédé de dépôt séquentiel d'une pluralité de couches sur des substrats et unité de réception s'utilisant dans un dispositif de dépôt - Google Patents

Dispositif et procédé de dépôt séquentiel d'une pluralité de couches sur des substrats et unité de réception s'utilisant dans un dispositif de dépôt Download PDF

Info

Publication number
WO2017216065A1
WO2017216065A1 PCT/EP2017/064144 EP2017064144W WO2017216065A1 WO 2017216065 A1 WO2017216065 A1 WO 2017216065A1 EP 2017064144 W EP2017064144 W EP 2017064144W WO 2017216065 A1 WO2017216065 A1 WO 2017216065A1
Authority
WO
WIPO (PCT)
Prior art keywords
transport
gas
module
modules
deposition
Prior art date
Application number
PCT/EP2017/064144
Other languages
German (de)
English (en)
Inventor
Michael Heuken
Wilfried Lerch
Original Assignee
Aixtron Se
centrotherm international AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aixtron Se, centrotherm international AG filed Critical Aixtron Se
Publication of WO2017216065A1 publication Critical patent/WO2017216065A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof

Definitions

  • the receiving unit 12 can serve as a transport module 12, but it can also be advantageously provided as a stationary receiving unit in a deposition module.
  • the transport module 12 is moved during the deposition of a layer on the substrates within a deposition module 1. The movement takes place in particular in the transport direction. Such a deposition process takes place in particular for depositing thick layers. Also in these methods, the substrate and in particular a substrate carrier carrying the substrate can be rotated.
  • the transport channel 4 is arranged, for example, between a discharge portal 3 of the process module 1.2 and the loading portal 2 of the process module 1.3, which are in each case deposition modules, between which media carryover is to be avoided.
  • the transport channel 4 can also be arranged between other adjacent ones of the process modules 1.1 to 1.8 (FIG. 1) or between each of the process modules 1.1 to 1.10 shown in FIG.
  • it is provided that such a transport channel least be arranged between adjacent Depositionsmo- modules.
  • the unloading portal 3 is closable in some embodiments with a vacuum slide 30.
  • the loading portal 2 can be closed with a vacuum slide 29 in some embodiments. If the two vacuum slides 29, 30 are in an open position, then the transport channel 4 arranged between the loading portal 2 and the unloading portal 3 is opened.
  • the length of the transport channel 4 measured in the transport direction T is smaller than the length of the transport module 12 measured in the transport direction T.
  • a plurality of gas inlets 25 and a multiplicity of gas outlets 26 are formed.
  • the gas inlets 25 and the gas outlets 26 are formed in opposite walls of the transport channel 4.
  • gas inlets 25 can be connected to a gas supply, in particular to an inert gas supply
  • the gas outlets 26 can be connected to a suction unit, in particular a vacuum pump. Between the gas inlets 25 and the gas outlets 26 can therefore be suitably create a gas curtain.
  • the gas inlets 25 and the gas outlets 26 may each be formed as individual nozzles or as slit nozzles.
  • FIG. 8 shows the arrangement of the gas inlets 25 and the gas outlets 26 transversely to the transport direction, wherein the gas inlets 25 and the gas outlets 26 have a regular distance. Due to the special arrangement of the gas inlets 25 and the gas outlets 26, a homogeneous gas flow directed transversely to the transport direction T can be formed over substantially the entire cross-sectional area of the transport channel 4, forming a gas curtain on the one hand and a gas diffusion barrier on the other hand it is prevented that reaction gases can change from one process module 1 into the other process module 1.
  • the gas curtain can be formed in particular from an inert gas or else by one of the aforementioned support gases.
  • the gas curtain preferably has such a length in the transport direction T that a significant diffusion of reaction gases takes place only into the gas curtain, but not through the gas curtain.
  • the relevant properties of the gas curtain depend on the total pressure, the temperature and the composition of the gases on the one hand, but also on the flow velocity and the dimension of the cross-sectional area and the longitudinal sectional area of the transport channel 4. These parameters are selected so that process gases can not get into the other process module 1.
  • substrates can also be transported at high temperatures under A S H 3 or PH 3 overpressure between the chambers.
  • an inert gas such as N 2 , H 2 or a noble gas
  • AsH 3 or PH 3 can be fed into both adjacent process modules.
  • PH 3 or A S H 3 also forms a suitable gas for use with the gas curtain.
  • the individual process modules 1 and in particular the multiple successive deposition modules can each be operated at a constant operating temperature, wherein in each case the operating temperature corresponds to the process temperature of the process in which the individual layer is deposited there on the substrate.
  • the transport module 12 preferably has the lowest possible thermal mass to make adjustments between different temperatures in adjacent process modules as quickly as possible.
  • the processes prevail in the respective process modules each optimized for the particular process pressure conditions that may differ significantly from module to module.
  • the internal pressure of the adjacent process modules 1 is brought to a common level in order to avoid a flow of gases from one process module 1 to the other through the transport channel 4.
  • the pressures in the adjacent process modules are identical, but the pressure within the transport channel 4 deviates from this, so that either a flow through the loading portal 2 or unloading portal 3 into the process module 1 or from the process module 1 takes place in the transport channel 4.
  • the process modules 1 can be sealed against each other and against the outside world by means of the slides 29, 30.
  • the transport channels 4 can also be sealed off from the outside environment so that a change of the transport modules 12 from one process module 1 to the adjacent process module 1 can also take place under subatmospheric pressure or even at overpressure.
  • the deposition modules preferably only one special layer is deposited on the substrates, each time being one
  • At least the deposition modules preferably have a gas-tight or pressure-tight sealable loading portal and a gas, pressure or vacuum-tight sealable end loading portal.
  • the loading portal is arranged in relation to the transport direction opposite the unloading portal, in particular, so that transport through the deposition module can take place in a straight line. But it is also envisaged that Beladeportal and Entladeportal not opposed, but arranged at 90 ° to each other or standing at a different angle to each other walls of the deposition module are.
  • a transport module is brought into the deposition module.
  • the unloading portal the transport module is brought back from the deposition module.
  • a device can have a multiplicity, for example three, four, five or six process modules arranged one behind the other, wherein a first process module in the transport direction and a last process module in the transport direction is not a deposition module. While the transport module can be moved relatively freely between the first process module in the transport direction and the last process module in the transport direction, which the process modules can be connected via corresponding transport channels, an input or output port can be provided at the end process modules.
  • An aspect of the invention further relates to a special receiving unit which can be used stationarily in a deposition apparatus or also as a transport module for use in a device as described above.
  • the receiving unit has one or more substrate carriers for supporting at least one substrate each.
  • the substrate carrier has an outline contour line. This can be a polygonal line. It is preferably a circular arc line. To this contour line extends a suction opening, which may also be divided into a plurality of individual openings.
  • the contour line on which the suction opening extends preferably follows the outline contour line of the substrate carrier. If, for example, the substrate carrier has a circular outline contour line, then the suction opening or the individual openings of the suction opening lie on a circular arc line around the substrate carrier, wherein the circular arc line and the outline contour line can have the same center point.
  • On the transport module several identically designed substrate carrier are arranged.
  • the substrate carriers are preferably arranged uniformly on a horizontal surface of the transport module and rotationally driven.
  • the suction openings can be assigned to corresponding suction units, which are below the receiving unit and are arranged so that a temperature or temperature control of the same do not or not significantly affect.
  • the suction unit may be a unitary one for all suction openings or it may be separate for individual or groups of suction openings.
  • the transport takes place at least partially with transport channels open to both process modules, without the process temperature being changed, in particular lowered, in the adjoining process modules. If a negative pressure process is carried out in the process modules, then only the internal pressure within the process modules is adjusted in such a way that during transport no flow arises in the transport direction or against the transport direction through the transport channel.
  • the elongated suction openings extending parallel to the walls within the process modules can be activated individually. Upon activation, the corresponding suction opening is for example subjected to a negative pressure, which is generated by a vacuum pump. It is provided, for example, that extraction takes place through all four of the elongate suction openings only when in the process module Machining process, for example, in a deposition module, a deposition process takes place. Extraction by the suction openings extending parallel to the transport direction preferably takes place only when a layer is deposited on the substrate. When changing the transport, however, there is also an extraction by the suction openings extending perpendicular to the transport direction.
  • the method preferably only one layer or a layer sequence consisting of a few layers is deposited in a deposition module.
  • the same process step is always carried out in each process module. It is therefore a quasi-continuous manufacturing process.
  • the process temperature is kept constant, so does not change significantly, especially when changing the transport module.
  • the process temperatures in individual deposition modules may be in the range between 600 and 1100 ° C., in particular between 700 and 1000 ° C. The deposition of the layers can take place when the transport modules are at rest.
  • process modules which are arranged transversely to the transport direction next to another process module into which transport modules can be transported by means of corresponding transport channels.
  • process modules are provided, in which a cleaning of the surface of the substrates can be carried out, for example.
  • This can be achieved in a known manner by a plasma array, in particular using the gases H 2 , Ar, He or O 2 .
  • the gases may also be suitably mixed to achieve the desired physico-chemical cleaning.
  • Such a process chamber is preferably preceded in the transport direction by a deposition module, so that the cleaned substrate retains its perfect surface until it is coated.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

L'invention concerne un dispositif et un procédé permettant de déposer une pluralité de couches sur des substrats (13), en particulier pour produire des cellules solaires multijonctions ou d'autres composants optoélectroniques sur des substrats en silicium, ledit dispositif comportant une pluralité de modules de dépôt (1) disposés les uns derrière les autres dans une direction de passage (T) et à l'intérieur d'un boîtier (5) étanche aux gaz, lesdits modules de dépôt présentant au moins un élément d'entrée de gaz (8) pour introduire un gaz de procédé dans une chambre de traitement (27) et un dispositif d'aspiration (9) pour aspirer le gaz de procédé ainsi qu'un dispositif de chauffage (10) pour chauffer le substrat (13), le dispositif présentant également un dispositif de transport (11) pour transporter des modules de transport (12) portant chacun un ou plusieurs substrats dans le sens de transport (T) à travers les modules de traitement (1), au moins chacun des modules de dépôt présentant un portique de chargement (2) pouvant être fermé de manière étanche à la pression et un portique de déchargement (3) pouvant être fermé de manière étanche à la pression, pour permettre le passage du module de transport (12), un canal de transport (28) pour permettre le passage du module de transport (12) se situant au moins entre le portique de déchargement (3) de quelques modules de dépôt et le portique de chargement (2) d'un module de dépôt respectivement adjacent. Des moyens (25, 26) pour produire un rideau gazeux (4) orienté transversalement au sens de transport (T) sont situés dans le canal de transport (28). Le module de transport (12) se présente sous forme de tablette et comporte plusieurs supports de substrat (21), un élément d'entrée de gaz (8) et des ouvertures d'aspiration étant associés à chaque support de substrat (21) individuel.
PCT/EP2017/064144 2016-06-13 2017-06-09 Dispositif et procédé de dépôt séquentiel d'une pluralité de couches sur des substrats et unité de réception s'utilisant dans un dispositif de dépôt WO2017216065A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102016110788.3A DE102016110788A1 (de) 2016-06-13 2016-06-13 Vorrichtung und Verfahren zur Herstellung von optoelektronischen Bauelementen, insbesondere von Multi-Junction-Solarzellen im Durchlaufverfahren
DE102016110788.3 2016-06-13

Publications (1)

Publication Number Publication Date
WO2017216065A1 true WO2017216065A1 (fr) 2017-12-21

Family

ID=59091485

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2017/064144 WO2017216065A1 (fr) 2016-06-13 2017-06-09 Dispositif et procédé de dépôt séquentiel d'une pluralité de couches sur des substrats et unité de réception s'utilisant dans un dispositif de dépôt

Country Status (3)

Country Link
DE (1) DE102016110788A1 (fr)
TW (1) TW201809343A (fr)
WO (1) WO2017216065A1 (fr)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111621755A (zh) * 2019-02-28 2020-09-04 广东汉能薄膜太阳能有限公司 气幕隔离装置及气幕隔离腔
CN112239862A (zh) * 2019-07-16 2021-01-19 黄信航 水平斜置方式逐片连续生产的化学沉积设备及方法
CN113508190A (zh) * 2019-02-25 2021-10-15 康宁股份有限公司 多喷淋头化学气相沉积的反应器、方法及产品
CN115910869A (zh) * 2022-12-30 2023-04-04 江苏微导纳米科技股份有限公司 装载腔体及其清洗方法、及半导体设备
WO2023129142A1 (fr) * 2021-12-28 2023-07-06 Applied Materials, Inc. Systèmes de fabrication de dispositif électronique ayant des chambres de dépôt appariées pour une uniformité de dépôt améliorée
US11842889B2 (en) 2016-12-14 2023-12-12 Schneider Gmbh & Co. Kg Device, method and use for the coating of lenses

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI664690B (zh) * 2018-04-16 2019-07-01 漢民科技股份有限公司 具有自動傳送系統的磊晶製程系統和其自動傳送方法
WO2019205351A1 (fr) * 2018-04-24 2019-10-31 君泰创新(北京)科技有限公司 Appareil de revêtement double face et unité de traitement de plaque de support associée
EP3937219B1 (fr) * 2020-07-06 2023-08-30 Siltronic AG Procédé de fabrication d'un rideau de gaz à partir de gaz de purge dans un tunnel de soupape à fente et tunnel de soupape à fente

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2023466A1 (de) 1969-05-19 1970-11-26 International Business Machines Corp., Armonk, N.Y. (V.St.A.) Bearbeitung von kontinuierlich durch Prozeß-Atmosphären transportiertem Material
US3785853A (en) * 1972-05-24 1974-01-15 Unicorp Inc Continuous deposition reactor
JPH03135014A (ja) * 1989-10-20 1991-06-10 Furukawa Electric Co Ltd:The ウエハの成膜装置
US5027746A (en) * 1988-03-22 1991-07-02 U.S. Philips Corporation Epitaxial reactor having a wall which is protected from deposits
US5122391A (en) * 1991-03-13 1992-06-16 Watkins-Johnson Company Method for producing highly conductive and transparent films of tin and fluorine doped indium oxide by APCVD
US6129047A (en) * 1997-02-07 2000-10-10 Sumitomo Metal Industries, Ltd. Susceptor for vapor-phase growth apparatus
US6143080A (en) * 1999-02-02 2000-11-07 Silicon Valley Group Thermal Systems Llc Wafer processing reactor having a gas flow control system and method
EP1456871A1 (fr) * 2001-12-21 2004-09-15 Sumitomo Mitsubishi Silicon Corporation Suscepteur pour croissance epitaxiale et procede de croissance epitaxiale
JP2009149951A (ja) * 2007-12-21 2009-07-09 Mitsubishi Heavy Ind Ltd 製膜装置の膜厚調整方法
US20100229793A1 (en) * 2009-03-16 2010-09-16 Alta Devices, Inc. Showerhead for vapor deposition
US20110268880A1 (en) * 2009-10-28 2011-11-03 Alta Devices, Inc. Reactor clean
US20120204796A1 (en) * 2009-10-16 2012-08-16 Francisco Ruda Y Witt CVD reactor having a substrate holder resting on a gas cushion comprising a plurality of zones
US20120288615A1 (en) * 2011-04-29 2012-11-15 Kyung Hwa Jung Apparatus and method for treating substrate
US20140060434A1 (en) * 2012-09-04 2014-03-06 Applied Materials, Inc. Gas injector for high volume, low cost system for epitaxial silicon depositon
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
WO2016043965A1 (fr) * 2014-09-19 2016-03-24 Applied Materials, Inc. Outil de traitement de substrat en ligne à plaques parallèles
US20160138159A1 (en) * 2014-11-13 2016-05-19 Tokyo Electron Limited Film forming apparatus

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4597989A (en) * 1984-07-30 1986-07-01 Burroughs Corporation Method of depositing silicon films with reduced structural defects
US5016562A (en) * 1988-04-27 1991-05-21 Glasstech Solar, Inc. Modular continuous vapor deposition system
US5651868A (en) * 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
CN102356458B (zh) * 2009-04-16 2014-10-15 Tp太阳能公司 利用极低质量运送系统的扩散炉及晶圆快速扩散加工处理的方法
US8247255B2 (en) 2009-12-15 2012-08-21 PrimeStar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2023466A1 (de) 1969-05-19 1970-11-26 International Business Machines Corp., Armonk, N.Y. (V.St.A.) Bearbeitung von kontinuierlich durch Prozeß-Atmosphären transportiertem Material
US3785853A (en) * 1972-05-24 1974-01-15 Unicorp Inc Continuous deposition reactor
US5027746A (en) * 1988-03-22 1991-07-02 U.S. Philips Corporation Epitaxial reactor having a wall which is protected from deposits
JPH03135014A (ja) * 1989-10-20 1991-06-10 Furukawa Electric Co Ltd:The ウエハの成膜装置
US5122391A (en) * 1991-03-13 1992-06-16 Watkins-Johnson Company Method for producing highly conductive and transparent films of tin and fluorine doped indium oxide by APCVD
US6129047A (en) * 1997-02-07 2000-10-10 Sumitomo Metal Industries, Ltd. Susceptor for vapor-phase growth apparatus
US6143080A (en) * 1999-02-02 2000-11-07 Silicon Valley Group Thermal Systems Llc Wafer processing reactor having a gas flow control system and method
EP1456871A1 (fr) * 2001-12-21 2004-09-15 Sumitomo Mitsubishi Silicon Corporation Suscepteur pour croissance epitaxiale et procede de croissance epitaxiale
JP2009149951A (ja) * 2007-12-21 2009-07-09 Mitsubishi Heavy Ind Ltd 製膜装置の膜厚調整方法
US20100229793A1 (en) * 2009-03-16 2010-09-16 Alta Devices, Inc. Showerhead for vapor deposition
US20120204796A1 (en) * 2009-10-16 2012-08-16 Francisco Ruda Y Witt CVD reactor having a substrate holder resting on a gas cushion comprising a plurality of zones
US20110268880A1 (en) * 2009-10-28 2011-11-03 Alta Devices, Inc. Reactor clean
US20120288615A1 (en) * 2011-04-29 2012-11-15 Kyung Hwa Jung Apparatus and method for treating substrate
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US20140060434A1 (en) * 2012-09-04 2014-03-06 Applied Materials, Inc. Gas injector for high volume, low cost system for epitaxial silicon depositon
WO2016043965A1 (fr) * 2014-09-19 2016-03-24 Applied Materials, Inc. Outil de traitement de substrat en ligne à plaques parallèles
US20160138159A1 (en) * 2014-11-13 2016-05-19 Tokyo Electron Limited Film forming apparatus

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11842889B2 (en) 2016-12-14 2023-12-12 Schneider Gmbh & Co. Kg Device, method and use for the coating of lenses
CN113508190A (zh) * 2019-02-25 2021-10-15 康宁股份有限公司 多喷淋头化学气相沉积的反应器、方法及产品
CN111621755A (zh) * 2019-02-28 2020-09-04 广东汉能薄膜太阳能有限公司 气幕隔离装置及气幕隔离腔
CN112239862A (zh) * 2019-07-16 2021-01-19 黄信航 水平斜置方式逐片连续生产的化学沉积设备及方法
CN112239862B (zh) * 2019-07-16 2023-02-28 黄信航 水平斜置方式逐片连续生产的化学沉积设备及方法
WO2023129142A1 (fr) * 2021-12-28 2023-07-06 Applied Materials, Inc. Systèmes de fabrication de dispositif électronique ayant des chambres de dépôt appariées pour une uniformité de dépôt améliorée
CN115910869A (zh) * 2022-12-30 2023-04-04 江苏微导纳米科技股份有限公司 装载腔体及其清洗方法、及半导体设备
CN115910869B (zh) * 2022-12-30 2024-02-02 江苏微导纳米科技股份有限公司 装载腔体及其清洗方法、及半导体设备

Also Published As

Publication number Publication date
DE102016110788A1 (de) 2017-12-14
TW201809343A (zh) 2018-03-16

Similar Documents

Publication Publication Date Title
WO2017216065A1 (fr) Dispositif et procédé de dépôt séquentiel d'une pluralité de couches sur des substrats et unité de réception s'utilisant dans un dispositif de dépôt
DE60024424T2 (de) Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System
EP2147452B1 (fr) Système de traitement de substrats plats
EP2053649B1 (fr) Dispositif de passage sous vide destiné au traitement de substrats
DE69935351T2 (de) Verfahren zum Abscheiden von Atomschichten
DE112011104446B4 (de) Chemische Gasphasenabscheidungs-Vorrichtung und Verfahren zum Herstellen von lichtemittierenden Vorrichtungen mit derselben
DE112012001864T5 (de) Halbleitersubstratbearbeitungssystem
DE112008000169T5 (de) Gasbehandlungssysteme
DE102008022784A1 (de) Vorrichtung und Verfahren zum Tempern von Gegenständen in einer Behandlungskammer
DE102005038873A1 (de) Vielkammer-MOCVD-Aufwachsvorrichtung für hohe Geschwindigkeit/ hohen Durchsatz
DE112009004253T5 (de) Trockenreinigung einer Siliziumoberfläche für Solarzellenanwendungen
EP2521804B1 (fr) Installation de revêtement en ligne
DE102011056913A1 (de) Dampfabscheidungsverfahren zur kontinuierlichen Abscheidung und Behandlung einer Dünnfilmschicht auf einem Substrat
WO2019219292A2 (fr) Installation à flux continu et procédé de revêtement de substrats
EP2870625B1 (fr) Installation et procédé destinés à traiter des substrats
DE102011002145B4 (de) Vorrichtung und Verfahren zum großflächigen Abscheiden von Halbleiterschichten mit gasgetrennter HCI-Einspeisung
EP2815426B1 (fr) Boîtier de processus, support de processus, agencements et procédé de traitement d'un substrat revêtu
DE112019001953T5 (de) Vorrichtung zur chemischen gasphasenabscheidung mit mehrzonen-injektorblock
DE102009018700B4 (de) Beschichtungsanlage und Verfahren zum Beschichten
DE112011101956T5 (de) Solarzellen-Herstellungsvorrichtung und Solarzellen-Herstellungsverfahren
DE69727658T2 (de) Kontinuierlicher Ofen mit hohem Durchsatz für Diffusionsbehandlung mit verschiedenen Diffusionsquellen
EP3071725B1 (fr) Procédé de production d'un corps composite comportant au moins une couche fonctionnelle ou de production ultérieure d'un composant électronique ou optoélectronique
EP1608794B1 (fr) Dispositif pour deposer des composes sur un substrat par deposition organometallique en phase gazeuse
EP2954093B1 (fr) Injecteur et procédé
DE102008030679B4 (de) Vorrichtung zur Diffusionsbehandlung von Werkstücken

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17731502

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17731502

Country of ref document: EP

Kind code of ref document: A1