WO2016080226A1 - 架橋反応性シリコン含有膜形成組成物 - Google Patents

架橋反応性シリコン含有膜形成組成物 Download PDF

Info

Publication number
WO2016080226A1
WO2016080226A1 PCT/JP2015/081476 JP2015081476W WO2016080226A1 WO 2016080226 A1 WO2016080226 A1 WO 2016080226A1 JP 2015081476 W JP2015081476 W JP 2015081476W WO 2016080226 A1 WO2016080226 A1 WO 2016080226A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
film
formula
resist
methyl
Prior art date
Application number
PCT/JP2015/081476
Other languages
English (en)
French (fr)
Inventor
中島 誠
顕司 高瀬
雅久 遠藤
若山 浩之
Original Assignee
日産化学工業株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日産化学工業株式会社 filed Critical 日産化学工業株式会社
Priority to US15/528,037 priority Critical patent/US10845703B2/en
Priority to SG11201704070SA priority patent/SG11201704070SA/en
Priority to JP2016560150A priority patent/JP6788222B2/ja
Priority to CN201580059708.7A priority patent/CN107075302B/zh
Priority to KR1020177008835A priority patent/KR102543831B1/ko
Priority to EP15860187.2A priority patent/EP3222688A4/en
Publication of WO2016080226A1 publication Critical patent/WO2016080226A1/ja

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • C08G77/18Polysiloxanes containing silicon bound to oxygen-containing groups to alkoxy or aryloxy groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Definitions

  • the present invention relates to a film-forming composition using a hydrolyzable silane having a crosslinking reactive group.
  • siloxane materials are used for improving heat resistance, transparency, plasma resistance, flatness, and the like. These materials generally have a siloxane crosslinking method by dehydration condensation of silanol. Another method for providing cross-linking is the introduction of acrylate groups into the silicone polymer (see Patent Document 1). It has been shown that these groups can be crosslinked and cured by irradiation with UV light.
  • An organosiloxane using methylol crosslinking has also been proposed (see Patent Document 2). That is, it has been proposed to crosslink an organopolysiloxane using a composition containing at least two organopolysiloxane units and a nitrogen-containing compound having a methylol group. In addition, it has been proposed to use a composition having a cross-linking material using methylol cross-linking as a resist underlayer film used in a lithography process of a semiconductor device (see Patent Document 3).
  • Conventional polysiloxanes having crosslinkability have undergone a crosslinking reaction between a functional group incorporated in the side chain of the polysiloxane, such as a functional group such as a carboxyl group, a hydroxyl group, and an epoxy group, and a crosslinkable compound. .
  • a functional group such as a carboxyl group, a hydroxyl group, and an epoxy group
  • a crosslinkable compound such as a functional group such as a carboxyl group, a hydroxyl group, and an epoxy group.
  • the present invention introduces an alkoxymethylphenyl group that causes a crosslinking reaction into the side chain of the polysiloxane, and this crosslinking group forms a crosslinked structure with each other or with other components, thereby providing a sufficiently strong crosslinked structure. It is an object of the present invention to provide a composition having excellent chemical resistance.
  • the present invention includes a hydrolyzable silane, a hydrolyzate thereof, or a hydrolyzed condensate thereof as a silane
  • the hydrolyzable silane is represented by the formula (1):
  • R 1 is Formula (2):
  • R 4 represents a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, or an acyl group
  • R 5 represents a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, or 1 carbon atom.
  • R 6 represents an alkyl group having 1 to 10 carbon atoms having an alkoxy group having 1 to 10 carbon atoms
  • R 6 represents an alkyl group having 1 to 10 carbon atoms
  • n1 represents an integer of 0 to 10
  • n2 represents 0 or 1 N3
  • n4 and n5 are integers
  • n3 is 1 ⁇ n3 ⁇ 5
  • n4 is 0 ⁇ n4 ⁇ 4
  • n5 is 0 ⁇ n5 ⁇ 4
  • k1 is n1 from 1 to 10.
  • R 2 is an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, or an organic group having a cyano group, Or a combination of these and bonded to a silicon atom by a Si—C bond.
  • R 3 represents an alkoxy group, an acyloxy group, or a halogen group.
  • a represents an integer of 1
  • b represents an integer of 0 to 2
  • a + b represents an integer of 1 to 3.
  • the hydrolyzable silane is a combination of a hydrolyzable silane represented by the formula (1) and another hydrolyzable silane
  • the other hydrolyzable silane is represented by the formula (3):
  • R 7 is an alkyl group, aryl group, halogenated alkyl group, halogenated aryl group, alkoxyalkyl group, alkoxyaryl group, alkoxyalkoxyaryl group, alkenyl group, or epoxy group, acryloyl group, methacryloyl.
  • a hydrolyzable silane represented by formula (4) (In the formula (4), R 9 is an alkyl group and bonded to a silicon atom by a Si—C bond, R 10 represents an alkoxy group, an acyloxy group, or a halogen group, and Y represents an alkylene group or An arylene group, d is an integer of 0 or 1, and e is an integer of 0 or 1.) is at least one hydrolyzable silane selected from the group consisting of hydrolyzable silanes
  • the film-forming composition according to the first aspect As a third aspect, a hydrolyzable silane hydrolysis condensate comprising a combination of a hydrolyzable silane represented by the formula (1) of the first viewpoint and a hydrolyzable silane represented by the formula (3) of the second viewpoint.
  • a film-forming composition comprising as a polymer, As a fourth aspect, the film forming composition according to any one of the first aspect to the third aspect, further including a salt, As a fifth aspect, the film forming composition according to any one of the first aspect to the fourth aspect, wherein the film forming composition is a resist underlayer film forming composition used in a lithography process, As a sixth aspect, a resist underlayer film formed on a semiconductor substrate, comprising a cured product of the resist underlayer film forming composition according to the fifth aspect, As a seventh aspect, a step of applying the resist underlayer film forming composition according to the fifth aspect on a semiconductor substrate and baking to form a resist underlayer film, and applying a resist composition on the resist underlayer film to form a resist film A step of exposing the resist film, a step of developing the resist film after exposure to obtain a resist pattern, a step of etching the resist underlayer film with the resist pattern, and a patterned resist and resist underlayer film.
  • a method of manufacturing a semiconductor device including a step of processing a semiconductor substrate;
  • a step of forming an organic underlayer film on a semiconductor substrate, a step of applying and baking the resist underlayer film forming composition described in the fifth aspect thereon to form a resist underlayer film A step of forming a resist film by applying a resist composition thereon, a step of exposing the resist film, a step of developing the resist film after exposure to obtain a resist pattern, a step of etching the resist underlayer film with the resist pattern, a pattern
  • R 6 represents an alkyl group having 1 to 10 carbon atoms having 1 to 10 alkoxy groups
  • R 6 represents an alkyl group having 1 to 10 carbon atoms
  • n1 represents an integer of 0 to 10
  • n2 represents 0 or 1 N3
  • n4 and n5 are integers
  • n3 is 1 ⁇ n3 ⁇ 5
  • n4 is 0 ⁇ n4 ⁇ 4
  • n5 is 0 ⁇ n5 ⁇ 4
  • k1 is n1 from 1 to 10.
  • k2 represents a bond end with a silicon atom when n1 represents 0 and n2 represents 1, and k3 represents a bond end with n1 and n2 represents 0 Represents an end of a bond with a silicon atom.
  • Si Those bonded to a silicon atom by a —C bond or Si—O bond.
  • R 2 is an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, or an organic group having a cyano group, Or a combination of these and bonded to a silicon atom by a Si—C bond.
  • R 3 represents an alkoxy group, an acyloxy group, or a halogen group.
  • a represents an integer of 1
  • b represents an integer of 0 to 2
  • a + b represents an integer of 1 to 3.
  • this crosslinking group may form a crosslinking reaction with an electron-rich phenyl group. it can. Further, this alkoxymethylphenyl group can form a crosslinked structure with other hydroxyl groups, and can form a sufficiently strong crosslinked structure. Therefore, the film formed after curing of the composition of the present invention has excellent mechanical and chemical resistance.
  • the film-forming composition of the present invention can form such an excellent film, it can be used as a film-forming composition for various applications.
  • it can be used as a resist underlayer film forming composition for forming a resist underlayer film used in a multilayer process of semiconductor lithography by utilizing the etching resistance inherent in siloxane.
  • the resist is thinned to prevent pattern collapse as the pattern is miniaturized.
  • the combination of etching gas species changes the etching rate ratio of the siloxane layer and the organic layer.
  • a process is performed in which the resist pattern is transferred to the silicon hard mask existing in the lower layer, further transferred to the organic lower layer film existing in the lower layer, and finally the silicon substrate is processed.
  • the film forming composition of the present invention can also be used as a composition for forming a silicon hard mask (resist underlayer film) used in this process.
  • the film-forming composition of the present invention is a silicon-based composition, and fills a hole in a substrate where flatness is required by adjusting the degree of curing by adjusting the temperature at which a crosslinking reaction with alkoxymethylphenyl groups occurs. It can be used as a material. That is, the film-forming composition of the present invention maintains fluidity in the temperature range below the temperature at which the crosslinking reaction by the alkoxymethylphenyl group occurs, so the composition first maintained at a temperature below the temperature at which the crosslinking reaction occurs. Is filled in fine holes on the substrate, and then the temperature is raised to cause a crosslinking reaction, thereby forming an effect of forming a highly planarized film sufficiently filled in the fine holes.
  • FIG. 1 shows a cross-sectional view of the stepped substrate used in the hole-filling evaluation test.
  • the present invention is a film-forming composition
  • a hydrolyzable silane as a silane, a hydrolyzate thereof, or a hydrolysis condensate thereof wherein the hydrolyzable silane includes a hydrolyzable silane represented by the formula (1).
  • the film-forming composition of the present invention contains a hydrolyzable silane represented by formula (1), a hydrolyzate thereof, or a hydrolyzed condensate thereof, and a solvent.
  • acid, water, alcohol, curing catalyst, acid generator, other organic polymer, light-absorbing compound, surfactant and the like can be included.
  • the solid content in the film-forming composition of the present invention is, for example, 0.1 to 50% by mass, or 0.1 to 30% by mass, or 0.1 to 25% by mass.
  • the solid content is obtained by removing the solvent component from all components of the film-forming composition.
  • the ratio of the hydrolyzable silane, its hydrolyzate, and its hydrolysis condensate in the solid content is 20% by mass or more, for example, 50 to 100% by mass, 60 to 99% by mass, 70 to 99% by mass. It is.
  • hydrolyzable silane, its hydrolyzate, and its hydrolysis condensate can also be used as a mixture thereof. It can be used as a condensate obtained by hydrolyzing a hydrolyzable silane and condensing the obtained hydrolyzate.
  • a hydrolysis condensate it is also possible to use a mixture obtained by mixing a hydrolysis product with a partially hydrolyzed product or a silane compound in which hydrolysis is not completely completed.
  • This condensate is a polymer having a polysiloxane structure.
  • the polysiloxane includes a hydrolyzable silane represented by the formula (1), or a hydrolyzable silane represented by the formula (1) and other hydrolyzable silanes (for example, a hydrolyzable silane represented by the formula (3)). And a hydrolytic condensate. Also, a hydrolyzable silane represented by formula (1) or a hydrolyzate of hydrolyzable silane comprising a combination of hydrolyzable represented by formula (1) and hydrolyzable silane represented by formula (3) From the mixture of the hydrolyzable silane represented by the formula (1) or the hydrolyzable silane represented by the formula (3) and the hydrolyzable silane represented by the formula (3) A hydrolyzable silane can be added.
  • R 1 is an organic group represented by the formula (2) and is bonded to a silicon atom by a Si—C bond or a Si—O bond.
  • R 2 is an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, or an organic group having a cyano group, Or a combination of these and bonded to a silicon atom by a Si—C bond.
  • R 3 represents an alkoxy group, an acyloxy group, or a halogen group.
  • R 4 represents a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, or an acyl group
  • R 5 represents a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, or 1 to 1 carbon atoms.
  • R 6 represents an alkyl group having 1 to 10 carbon atoms having 10 alkoxy groups
  • R 6 represents an alkyl group having 1 to 10 carbon atoms
  • n1 represents an integer of 0 to 10
  • n2 represents an integer of 0 or 1 N3
  • n4 and n5 are integers
  • n3 is 1 ⁇ n3 ⁇ 5
  • n4 is 0 ⁇ n4 ⁇ 4
  • n5 is 0 ⁇ n5 ⁇ 4.
  • a k1, a k2, or a k3 part represents a bonding end with a silicon atom
  • k1 represents a bonding end with a silicon atom when n1 represents 1 to 10
  • k2 represents n1 represents 0, and n2 represents 1 represents a bond end with a silicon atom
  • k3 represents a bond end with a silicon atom when n1 and n2 represent 0.
  • couples with a silicon atom in k1 part can be selected.
  • the alkyl group is an alkyl group having 1 to 10 carbon atoms, for example, a methyl group, an ethyl group, an n-propyl group, an i-propyl group, a cyclopropyl group, an n-butyl group, an i-butyl group, or an s-butyl group.
  • T-butyl group cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3- Methyl-n-butyl group, 1,1-dimethyl-n-propyl group, 1,2-dimethyl-n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, cyclopentyl 1-methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclyl Ropropyl, 2-ethyl-cyclopropyl, n-hexyl, 1-methyl-n-pentyl, 2-methyl-n-pentyl, 3-methyl-n-penty
  • the aryl group is an aryl group having 6 to 40 carbon atoms, for example, a phenyl group, an o-methylphenyl group, an m-methylphenyl group, a p-methylphenyl group, an o-chlorophenyl group, an m-chlorophenyl group, p -Chlorophenyl group, o-fluorophenyl group, p-fluorophenyl group, o-methoxyphenyl group, p-methoxyphenyl group, p-nitrophenyl group, p-cyanophenyl group, ⁇ -naphthyl group, ⁇ -naphthyl group O-biphenylyl group, m-biphenylyl group, p-biphenylyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1-phenanthryl group, 2-phenanthryl group, 3-phenanthryl
  • the alkenyl group is, for example, an alkenyl group having 2 to 10 carbon atoms, and includes an ethenyl group, 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3- Butenyl group, 2-methyl-1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2 -Pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl group, 2-ethyl-2-propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-butenyl group, 2-methyl-3-butenyl group, 3-methyl-1-buten
  • the acyl group is, for example, an acyl group having 2 to 10 carbon atoms, such as methylcarbonyl group, ethylcarbonyl group, n-propylcarbonyl group, i-propylcarbonyl group, cyclopropylcarbonyl group, n-butylcarbonyl group, i- Butylcarbonyl group, s-butylcarbonyl group, t-butylcarbonyl group, cyclobutylcarbonyl group, 1-methyl-cyclopropylcarbonyl group, 2-methyl-cyclopropylcarbonyl group, n-pentylcarbonyl group, 1-methyl-n -Butylcarbonyl group, 2-methyl-n-butylcarbonyl group, 3-methyl-n-butylcarbonyl group, 1,1-dimethyl-n-propylcarbonyl group, 1,2-dimethyl-n-propylcarbonyl group, 2 , 2-Dimethyl-n-propy
  • Examples of the organic group having an epoxy group include glycidoxymethyl, glycidoxyethyl, glycidoxypropyl, glycidoxybutyl, and epoxycyclohexyl.
  • Examples of the organic group having an acryloyl group include acryloylmethyl, acryloylethyl, acryloylpropyl, and the like.
  • Examples of the organic group having a methacryloyl group include methacryloylmethyl, methacryloylethyl, methacryloylpropyl, and the like.
  • Examples of the organic group having a mercapto group include ethyl mercapto, butyl mercapto, hexyl mercapto, octyl mercapto and the like.
  • Examples of the organic group having an amino group include an amino group, an aminomethyl group, and an aminoethyl group.
  • Examples of the organic group having a cyano group include cyanoethyl and cyanopropyl.
  • alkoxy group having 1 to 20 carbon atoms or the alkoxy group having 1 to 10 carbon atoms include alkoxy groups having a linear, branched or cyclic alkyl moiety having 1 to 20 carbon atoms, such as a methoxy group and an ethoxy group.
  • Examples of the acyloxy group having 2 to 20 carbon atoms and 1 to 10 carbon atoms include a methylcarbonyloxy group, an ethylcarbonyloxy group, an n-propylcarbonyloxy group, an i-propylcarbonyloxy group, and an n-butylcarbonyloxy group.
  • halogen group examples include fluorine, chlorine, bromine and iodine.
  • the hydrolyzable silane can be used as a combination of the hydrolyzable silane represented by the formula (1) and other hydrolyzable silanes.
  • R 7 is an alkyl group, aryl group, halogenated alkyl group, halogenated aryl group, alkoxyalkyl group, alkoxyaryl group, alkoxyalkoxyaryl group, alkenyl group, or epoxy group, acryloyl group, methacryloyl group.
  • R 9 is an alkyl group and bonded to a silicon atom by a Si—C bond
  • R 10 represents an alkoxy group, an acyloxy group, or a halogen group
  • Y represents an alkylene group or an arylene group Represents a group
  • d represents an integer of 0 or 1
  • e represents an integer of 0 or 1.
  • the organic group having a cyano group, an alkoxy group, an acyloxy group, and a halogen group the above-mentioned examples can be used.
  • An alkoxyalkyl group is an alkyl group substituted by an alkoxy group, and examples thereof include a methoxymethyl group, an ethoxymethyl group, an ethoxyethyl group, and an ethoxymethyl group.
  • An alkoxyaryl group is an aryl group substituted by an alkoxy group, and examples thereof include a methoxyphenyl group and an ethoxyphenyl group.
  • the alkoxyalkoxyaryl group is an aryl group substituted by an organic group in which an alkoxy group is substituted with an alkoxy group, and examples thereof include a methoxymethoxyphenyl group, a methoxyethoxyphenyl group, an ethoxymethoxyphenyl group, and an ethoxyethoxyphenyl group.
  • the film-forming composition can contain, as a polymer, a hydrolysis condensate of a hydrolyzable silane composed of a combination of a hydrolyzable silane represented by the formula (1) and a hydrolyzable silane represented by the formula (3).
  • Examples of the hydrolyzable silane represented by the formula (1) are as follows. Me represents a methyl group, and Et represents an ethyl group. Hereinafter, these abbreviations may be used in the present specification.
  • the hydrolyzable silane is a combination of the hydrolyzable silane represented by the formula (1) and other hydrolyzable silanes, and the other hydrolyzable silanes are derived from the formulas (3) and (4). At least one hydrolyzable silane selected from the group can be used.
  • Examples of the silicon-containing compound represented by the formula (3) include tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra n-propoxysilane, tetraisopropoxysilane, tetra n-butoxysilane, and methyltrimethoxysilane.
  • Methyltrichlorosilane methyltriacetoxysilane, methyltripropoxysilane, methyltributoxysilane, methyltriamyloxysilane, methyltriphenoxysilane, methyltribenzyloxysilane, methyltriphenethyloxysilane, glycidoxymethyltrimethoxysilane Glycidoxymethyltriethoxysilane, ⁇ -glycidoxyethyltrimethoxysilane, ⁇ -glycidoxyethyltriethoxysilane, ⁇ -glycidoxyethyltrimethoxysilane ⁇ -glycidoxyethyltriethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane, ⁇ -glycidoxypropyltriethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane, ⁇ -glycidoxypropyltriethoxysi
  • hydrolyzable silanes can also be used.
  • Examples of the silicon-containing compound represented by the formula (4) include methylene bistrimethoxysilane, methylene bistrichlorosilane, methylene bistriacetoxysilane, ethylene bistriethoxysilane, ethylene bistrichlorosilane, ethylene bistriacetoxysilane, propylene bistriethoxysilane, and butylene bistrimethoxysilane.
  • a silane having a sulfone group or a silane having a sulfonamide group can be used as the hydrolyzable silane, and examples thereof include the following.
  • hydrolysis-condensation product polysiloxane
  • the hydrolyzable condensate (polyorganosiloxane) of the hydrolyzable silane can obtain a condensate having a weight average molecular weight of 1,000 to 1,000,000, or 1,000 to 100,000. These molecular weights are molecular weights obtained in terms of polystyrene by GPC analysis.
  • GPC measurement conditions are, for example, GPC apparatus (trade name HLC-8220 GPC, manufactured by Tosoh Corporation), GPC column (trade names Shodex KF803L, KF802, KF801, Showa Denko), column temperature is 40 ° C., and eluent (elution solvent) Is tetrahydrofuran, the flow rate (flow rate) is 1.0 ml / min, and the standard sample is polystyrene (made by Showa Denko KK).
  • hydrolysis of the alkoxysilyl group, acyloxysilyl group, or halogenated silyl group 0.5 to 100 mol, preferably 1 to 10 mol of water is used per mol of the hydrolyzable group. Further, 0.001 to 10 mol, preferably 0.001 to 1 mol of hydrolysis catalyst can be used per mol of the hydrolyzable group.
  • the reaction temperature during the hydrolysis and condensation is usually 20 to 80 ° C.
  • Hydrolysis may be performed completely or partially. That is, a hydrolyzate or a monomer may remain in the hydrolysis condensate.
  • a catalyst can be used in the hydrolysis and condensation. Examples of the hydrolysis catalyst include metal chelate compounds, organic acids, inorganic acids, organic bases, and inorganic bases.
  • Examples of the metal chelate compound as the hydrolysis catalyst include triethoxy mono (acetylacetonato) titanium, tri-n-propoxy mono (acetylacetonato) titanium, tri-i-propoxy mono (acetylacetonato) titanium, tri -N-Butoxy mono (acetylacetonato) titanium, tri-sec-butoxy mono (acetylacetonato) titanium, tri-t-butoxy mono (acetylacetonato) titanium, diethoxy bis (acetylacetonato) titanium , Di-n-propoxy bis (acetylacetonato) titanium, di-i-propoxy bis (acetylacetonato) titanium, di-n-butoxy bis (acetylacetonato) titanium, di-sec-butoxy bis (Acetylacetonate) titanium, di-t Butoxy bis (acetylacetonato) titanium, monoethoxy tris (acetylacetonato) titanium
  • Organic acids as hydrolysis catalysts are, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacin Acid, gallic acid, butyric acid, merit acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linolenic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfone Examples include acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthal
  • Examples of the inorganic acid as the hydrolysis catalyst include hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, phosphoric acid and the like.
  • Organic bases as hydrolysis catalysts include, for example, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diazine.
  • the inorganic base include ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, calcium hydroxide and the like. Of these catalysts, metal chelate compounds, organic acids, and inorganic acids are preferred, and these may be used alone or in combination of two or more.
  • organic solvent used in the hydrolysis examples include n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2,2,4-trimethylpentane, n-octane, i- Aliphatic hydrocarbon solvents such as octane, cyclohexane and methylcyclohexane; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propyl benzene, i-propyl benzene, diethylbenzene, i-butylbenzene, triethylbenzene, di -Aromatic hydrocarbon solvents such as i-propyl benzene, n-amyl naphthalene, trimethylbenzene; methanol, ethanol, ethanol
  • acetone methyl ethyl ketone, methyl-n-propyl ketone, methyl-n-butyl ketone, diethyl ketone, methyl-i-butyl ketone, methyl-n-pentyl ketone, ethyl-n-butyl ketone, methyl-n-hexyl ketone, di- Ketone solvents such as i-butyl ketone, trimethylnonanone, cyclohexanone, methylcyclohexanone, 2,4-pentanedione, acetonylacetone, diacetone alcohol, acetophenone, and fenchon are preferred from the viewpoint of storage stability of the solution.
  • bisphenol S or a bisphenol S derivative can be added as an additive.
  • Bisphenol S or a bisphenol S derivative is 0.01 to 20 parts by mass, 0.01 to 10 parts by mass, or 0.01 to 5 parts by mass with respect to 100 parts by mass of the polyorganosiloxane.
  • Preferred bisphenol S or bisphenol S derivatives are exemplified below.
  • the film-forming composition of the present invention can contain a curing catalyst.
  • the curing catalyst functions as a curing catalyst when a coating film containing polyorganosiloxane composed of a hydrolysis condensate is heated and cured.
  • ammonium salts, phosphines, phosphonium salts, and sulfonium salts can be used.
  • the formula (D-1) (Wherein m is an integer of 2 to 11, n is an integer of 2 to 3, R 21 is an alkyl group or an aryl group, and Y A ⁇ is an anion.)
  • the formula (D-7) (However, R 31 , R 32 , R 33 , and R 34 represent an alkyl group or an aryl group, P represents a phosphorus atom, Y A ⁇ represents an anion, and R 31 , R 32 , R 33 , and R 34 are each linked to a phosphorus atom by a CP bond).
  • the formula (D-8) (However, R 35 , R 36 , and R 37 represent an alkyl group or an aryl group, S represents a sulfur atom, Y A ⁇ represents an anion, and R 35 , R 36 , and R 37 represent C—S, respectively. And a tertiary sulfonium salt which is bonded to a sulfur atom by a bond).
  • the compound represented by the formula (D-1) is a quaternary ammonium salt derived from an amine, m represents an integer of 2 to 11, and n represents an integer of 2 to 3.
  • R 21 of this quaternary ammonium salt represents an alkyl group or aryl group having 1 to 18 carbon atoms, preferably 2 to 10 carbon atoms, such as a linear alkyl group such as an ethyl group, a propyl group or a butyl group, or a benzyl group. Cyclohexyl group, cyclohexylmethyl group, dicyclopentadienyl group and the like.
  • Anions (Y A ⁇ ) include halogen ions such as chlorine ions (Cl ⁇ ), bromine ions (Br ⁇ ), iodine ions (I ⁇ ), carboxylates (—COO ⁇ ), sulfonates (—SO 3 ⁇ ). And acid groups such as alcoholate (—O ⁇ ).
  • R 22 R 23 R 24 R 25 N + Y A - is a quaternary ammonium salt represented by.
  • R 22 , R 23 , R 24 and R 25 are an alkyl group or aryl group having 1 to 18 carbon atoms, or a silane compound bonded to a silicon atom by a Si—C bond.
  • Anions (Y A ⁇ ) are halogen ions such as chlorine ions (Cl ⁇ ), bromine ions (Br ⁇ ), iodine ions (I ⁇ ), carboxylates (—COO ⁇ ), sulfonates (—SO 3 ⁇ ).
  • This quaternary ammonium salt can be obtained commercially, for example, tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzyl chloride. Examples include ammonium and trimethylbenzylammonium chloride.
  • the compound represented by the above formula (D-3) is a quaternary ammonium salt derived from 1-substituted imidazole
  • R 26 and R 27 are an alkyl group or an aryl group having 1 to 18 carbon atoms
  • the total number of carbon atoms of R 26 and R 27 is preferably 7 or more.
  • R 26 can be exemplified by methyl group, ethyl group, propyl group, phenyl group and benzyl group
  • R 27 can be exemplified by benzyl group, octyl group and octadecyl group.
  • Anions (Y A ⁇ ) are halogen ions such as chlorine ions (Cl ⁇ ), bromine ions (Br ⁇ ), iodine ions (I ⁇ ), carboxylates (—COO ⁇ ), sulfonates (—SO 3 ⁇ ). And acid groups such as alcoholate (—O ⁇ ).
  • This compound can be obtained as a commercial product.
  • imidazole compounds such as 1-methylimidazole and 1-benzylimidazole are reacted with alkyl halides and aryl halides such as benzyl bromide and methyl bromide. Can be manufactured.
  • the compound represented by the above formula (D-4) is a quaternary ammonium salt derived from pyridine, and R 28 is an alkyl or aryl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms.
  • R 28 is an alkyl or aryl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms.
  • Anions (Y A ⁇ ) are halogen ions such as chlorine ions (Cl ⁇ ), bromine ions (Br ⁇ ), iodine ions (I ⁇ ), carboxylates (—COO ⁇ ), sulfonates (—SO 3 ⁇ ).
  • this compound can be obtained as a commercial product, it is produced, for example, by reacting pyridine with an alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide. I can do it. Examples of this compound include N-laurylpyridinium chloride and N-benzylpyridinium bromide.
  • the compound represented by the above formula (D-5) is a quaternary ammonium salt derived from a substituted pyridine represented by picoline or the like, and R 29 is an alkyl having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms. Group or aryl group, for example, methyl group, octyl group, lauryl group, benzyl group and the like.
  • R 30 is an alkyl group or aryl group having 1 to 18 carbon atoms. For example, in the case of quaternary ammonium derived from picoline, R 30 is a methyl group.
  • Anions (Y A ⁇ ) are halogen ions such as chlorine ions (Cl ⁇ ), bromine ions (Br ⁇ ), iodine ions (I ⁇ ), carboxylates (—COO ⁇ ), sulfonates (—SO 3 ⁇ ). And acid groups such as alcoholate (—O ⁇ ).
  • This compound can also be obtained as a commercial product. For example, a substituted pyridine such as picoline is reacted with an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride or benzyl bromide, or an aryl halide. Can be manufactured. Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, N-laurylpicolinium chloride and the like.
  • the compound represented by the above formula (D-6) is a tertiary ammonium salt derived from an amine, m represents an integer of 2 to 11, and n represents an integer of 2 to 3.
  • Anions (Y A ⁇ ) include halogen ions such as chlorine ions (Cl ⁇ ), bromine ions (Br ⁇ ), iodine ions (I ⁇ ), carboxylates (—COO ⁇ ), sulfonates (—SO 3 ⁇ ). And acid groups such as alcoholate (—O ⁇ ). It can be produced by reacting an amine with a weak acid such as carboxylic acid or phenol. Examples of the carboxylic acid include formic acid and acetic acid.
  • the anion (Y A ⁇ ) When formic acid is used, the anion (Y A ⁇ ) is (HCOO ⁇ ), and when acetic acid is used, the anion (Y A ⁇ ) is (CH 3 COO ⁇ ). When phenol is used, the anion (Y A ⁇ ) is (C 6 H 5 O ⁇ ).
  • the compound represented by the above formula (D-7) is a quaternary phosphonium salt having a structure represented by R 31 R 32 R 33 R 34 P + Y A — .
  • R 31 , R 32 , R 33 , and R 34 are an alkyl group or aryl group having 1 to 18 carbon atoms, or a silane compound bonded to a silicon atom by a Si—C bond, preferably R 31 to R 34 of the four substituents of 34 are a phenyl group or a substituted phenyl group, and examples thereof include a phenyl group and a tolyl group, and the remaining one is an alkyl group having 1 to 18 carbon atoms, A silane compound bonded to a silicon atom by an aryl group or Si—C bond.
  • Anions (Y A ⁇ ) include halogen ions such as chlorine ions (Cl ⁇ ), bromine ions (Br ⁇ ), iodine ions (I ⁇ ), carboxylates (—COO ⁇ ), sulfonates (—SO 3 ⁇ ). And acid groups such as alcoholate (—O ⁇ ).
  • This compound can be obtained as a commercial product, for example, a halogenated tetraalkylphosphonium such as tetra-n-butylphosphonium halide, tetra-n-propylphosphonium halide, or a trialkylbenzyl halide such as triethylbenzylphosphonium halide.
  • Triphenylmonoalkylphosphonium halides such as phosphonium, triphenylmethylphosphonium halide, triphenylethylphosphonium halide, triphenylbenzylphosphonium halide, tetraphenylphosphonium halide, tritolylmonoarylphosphonium halide, or tritolyl monohalogenate Examples thereof include alkylphosphonium (the halogen atom is a chlorine atom or a bromine atom).
  • halogens such as triphenylmonoalkylphosphonium halides such as triphenylmethylphosphonium halide, triphenylethylphosphonium halide, triphenylmonoarylphosphonium halides such as triphenylbenzylphosphonium halide, and halogens such as tritolylmonophenylphosphonium halide.
  • Preferred is a tolylyl monoarylphosphonium halide, or a tolyl monoalkylphosphonium halide such as a tolyl monomethylphosphonium halide (the halogen atom is a chlorine atom or a bromine atom).
  • the phosphines include methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine, phenylphosphine and the like first phosphine, dimethylphosphine, diethylphosphine, diisopropylphosphine, diisoamylphosphine, diphenylphosphine and the like.
  • tertiary phosphines such as trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine, and dimethylphenylphosphine.
  • the compound represented by the above formula (D-8) is a tertiary sulfonium salt having a structure represented by R 35 R 36 R 37 S + Y A — .
  • R 35 , R 36 , and R 37 are alkyl or aryl groups having 1 to 18 carbon atoms, or a silane compound bonded to a silicon atom through a Si—C bond, preferably 4 of R 35 to R 37 .
  • Three of the substituents are phenyl groups or substituted phenyl groups, and examples thereof include phenyl groups and tolyl groups, and the remaining one is an alkyl group having 1 to 18 carbon atoms or an aryl group. It is.
  • Anions (Y A ⁇ ) include halogen ions such as chlorine ions (Cl ⁇ ), bromine ions (Br ⁇ ), iodine ions (I ⁇ ), carboxylates (—COO ⁇ ), sulfonates (—SO 3 ⁇ ). ), Alcoholate (—O ⁇ ), maleate anion, nitrate anion and the like. This compound is available as a commercial product.
  • halogenated tetraalkylsulfonium such as tri-n-butylsulfonium halide and tri-n-propylsulfonium halide
  • trialkylbenzyl halide such as diethylbenzylsulfonium halide.
  • Halogenated diphenylmonoalkylsulfonium such as sulfonium, halogenated diphenylmethylsulfonium, halogenated diphenylethylsulfonium, halogenated triphenylsulfonium, (halogen atom is chlorine or bromine atom), tri-n-butylsulfonium carboxylate, tri-n- Tetraalkylphosphonium carboxylates such as propylsulfonium carboxylate and trialkylbenzines such as diethylbenzylsulfonium carboxylate Sulfonium carboxylate, diphenylmethyl sulfonium carboxylate, diphenyl monoalkyl sulfonium carboxylate, triphenylsulfonium carboxylate such as diphenylethyl sulfonium carboxylate. Further, triphenylsulfonium halide and triphenylsulfonium carboxylate can
  • a nitrogen-containing silane compound can be added as a curing catalyst.
  • the nitrogen-containing silane compound include imidazole ring-containing silane compounds such as N- (3-triethoxysilylpropyl) -4,5-dihydroimidazole.
  • the curing catalyst is 0.01 to 10 parts by mass, 0.01 to 5 parts by mass, or 0.01 to 3 parts by mass with respect to 100 parts by mass of the polyorganosiloxane.
  • Hydrolyzable silane is hydrolyzed using a catalyst in a solvent to condense, and the resulting hydrolyzed condensate (polymer) simultaneously removes by-product alcohol, used hydrolysis catalyst, and water by distillation under reduced pressure. be able to.
  • the acid and base catalyst used for hydrolysis can be removed by neutralization or ion exchange.
  • the organic acid, water, alcohol, or those combination can be added to the film formation composition of this invention for stabilization of the film formation composition containing the hydrolysis-condensation product.
  • organic acid examples include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, citric acid, lactic acid, and salicylic acid. Of these, oxalic acid and maleic acid are preferred.
  • the organic acid to be added is 0.1 to 5.0 parts by mass with respect to 100 parts by mass of the condensate (polyorganosiloxane).
  • pure water, ultrapure water, ion exchange water, etc. can be used for the water to add, The addition amount can be 1 thru
  • alcohol to add what is easy to be scattered by the heating after application
  • coating is preferable, for example, methanol, ethanol, propanol, isopropanol, a butanol etc. are mentioned.
  • the added alcohol can be 1 to 20 parts by mass with respect to 100 parts by mass of the film-forming composition.
  • the film-forming composition of the present invention can contain an organic polymer compound, a photoacid generator, a surfactant and the like as necessary in addition to the above-described components.
  • the organic polymer compound is not particularly limited, and various organic polymers can be used. Polycondensation polymers and addition polymerization polymers can be used. Addition polymerization polymers and condensation polymerization polymers such as polyester, polystyrene, polyimide, acrylic polymer, methacrylic polymer, polyvinyl ether, phenol novolak, naphthol novolak, polyether, polyamide, and polycarbonate can be used.
  • An organic polymer having an aromatic ring structure such as a benzene ring, a naphthalene ring, an anthracene ring, a triazine ring, a quinoline ring, and a quinoxaline ring that functions as a light absorption site is preferably used.
  • organic polymer compounds include addition polymerizable monomers such as benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, anthryl methacrylate, anthryl methyl methacrylate, styrene, hydroxystyrene, benzyl vinyl ether, and N-phenylmaleimide.
  • addition-polymerized polymers containing as a structural unit, and polycondensation polymers such as phenol novolac and naphthol novolak.
  • the polymer compound When an addition polymerization polymer is used as the organic polymer compound, the polymer compound may be a homopolymer or a copolymer.
  • An addition polymerizable monomer is used for the production of the addition polymerization polymer.
  • examples of such addition polymerizable monomers include acrylic acid, methacrylic acid, acrylic ester compounds, methacrylic ester compounds, acrylamide compounds, methacrylamide compounds, vinyl compounds, styrene compounds, maleimide compounds, maleic anhydride, acrylonitrile and the like. It is done.
  • acrylic ester compounds include methyl acrylate, ethyl acrylate, normal hexyl acrylate, isopropyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthryl methyl acrylate, 2-hydroxyethyl acrylate, 3-chloro-2-hydroxypropyl acrylate, 2-hydroxypropyl acrylate, 2,2,2-trifluoroethyl acrylate, 2,2,2-trichloroethyl acrylate, 2-bromoethyl acrylate, 4-hydroxybutyl acrylate, 2-methoxyethyl acrylate, tetrahydrofurfuryl acrylate, 2-Methyl-2-adamantyl acrylate, 5-acryloyloxy-6-hydroxynorbornene-2-carboxy Examples thereof include silic-6-lactone, 3-acryloxypropyltriethoxysilane, and glycidyl acryl
  • Methacrylic acid ester compounds include methyl methacrylate, ethyl methacrylate, normal hexyl methacrylate, isopropyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthryl methyl methacrylate, 2-hydroxyethyl methacrylate, 2-hydroxypropyl methacrylate, 2,2, 2-trifluoroethyl methacrylate, 2,2,2-trichloroethyl methacrylate, 2-bromoethyl methacrylate, 4-hydroxybutyl methacrylate, 2-methoxyethyl methacrylate, tetrahydrofurfuryl methacrylate, 2-methyl-2-adamantyl methacrylate, 5 -Methacryloyloxy-6-hydroxynorbornene-2-carboxyl Examples include ku-6-lactone, 3-methacryloxypropyltriethoxysilane,
  • acrylamide compound examples include acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N, N-dimethylacrylamide and N-anthrylacrylamide.
  • methacrylamide compounds include methacrylamide, N-methyl methacrylamide, N-ethyl methacrylamide, N-benzyl methacrylamide, N-phenyl methacrylamide, N, N-dimethyl methacrylamide and N-anthryl acrylamide. .
  • vinyl compounds include vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetic acid, vinyl trimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinyl naphthalene, and vinyl anthracene. Can be mentioned.
  • styrene compound examples include styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, and acetylstyrene.
  • maleimide compounds include maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide and N-hydroxyethylmaleimide.
  • examples of such a polymer include a polycondensation polymer of a glycol compound and a dicarboxylic acid compound.
  • examples of the glycol compound include diethylene glycol, hexamethylene glycol, butylene glycol and the like.
  • examples of the dicarboxylic acid compound include succinic acid, adipic acid, terephthalic acid, maleic anhydride and the like.
  • examples thereof include polyesters such as polypyromellitimide, poly (p-phenylene terephthalamide), polybutylene terephthalate, polyethylene terephthalate, polyamide, and polyimide.
  • the organic polymer compound contains a hydroxyl group
  • the hydroxyl group can form a crosslinking reaction with the polyorganosiloxane.
  • the organic polymer compound a polymer compound having a weight average molecular weight of, for example, 1,000 to 1,000,000, 3,000 to 300,000, 5,000 to 200,000, or 10,000 to 100,000 can be used. Only one organic polymer compound can be used, or two or more organic polymer compounds can be used in combination. When the organic polymer compound is used, the proportion thereof is 1 to 200 parts by mass, 5 to 100 parts by mass, or 10 to 50 parts by mass, or 20 with respect to 100 parts by mass of the condensate (polyorganosiloxane). Thru
  • the film forming composition of the present invention may contain an acid generator.
  • the acid generator include a thermal acid generator and a photoacid generator.
  • the photoacid generator contained in the film-forming composition of the present invention include onium salt compounds, sulfonimide compounds, and disulfonyldiazomethane compounds.
  • onium salt compounds include diphenyliodonium hexafluorophosphate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoronormalbutanesulfonate, diphenyliodonium perfluoronormaloctanesulfonate, diphenyliodoniumcamphorsulfonate, bis (4-tert-butylphenyl) iodoniumcamphor.
  • Iodonium salt compounds such as sulfonate and bis (4-tert-butylphenyl) iodonium trifluoromethanesulfonate, and triphenylsulfonium hexafluoroantimonate, triphenylsulfonium nonafluoronormal butanesulfonate, triphenylsulfonium camphorsulfonate, and triphenyls Sulfonium salt compounds such as phosphonium trifluoromethanesulfonate, and the like.
  • sulfonimide compounds include N- (trifluoromethanesulfonyloxy) succinimide, N- (nonafluoronormalbutanesulfonyloxy) succinimide, N- (camphorsulfonyloxy) succinimide and N- (trifluoromethanesulfonyloxy) naphthalimide. Can be mentioned.
  • disulfonyldiazomethane compound examples include bis (trifluoromethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (p-toluenesulfonyl) diazomethane, and bis (2,4-dimethylbenzenesulfonyl). And diazomethane, and methylsulfonyl-p-toluenesulfonyldiazomethane.
  • a photo-acid generator can use only 1 type, or can be used in combination of 2 or more type.
  • the proportion thereof is 0.01 to 5 parts by mass, 0.1 to 3 parts by mass, or 0.5 with respect to 100 parts by mass of the condensate (polyorganosiloxane). Thru
  • the surfactant is effective in suppressing the occurrence of pinholes and installations when the film-forming composition of the present invention is applied to a substrate as a resist underlayer film-forming composition for lithography.
  • the surfactant contained in the film-forming composition of the present invention include polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, Polyoxyethylene alkyl allyl ethers such as polyoxyethylene octyl phenol ether, polyoxyethylene nonyl phenol ether, polyoxyethylene / polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan Sorbitan fatty acid esters such as monooleate, sorbitan trioleate, sorbitan tristearate, polyoxyethylene sorbitan monora Nonionic surfactants such as polyoxy
  • surfactants may be used alone or in combination of two or more.
  • the ratio is 0.0001 to 5 parts by mass, or 0.001 to 1 part by mass, or 0.01 to 1 with respect to 100 parts by mass of the condensate (polyorganosiloxane). Part by mass.
  • a rheology adjusting agent, an adhesion aid and the like can be added to the film forming composition of the present invention.
  • the rheology modifier is effective in improving the fluidity of the film-forming composition.
  • the adhesion aid is effective for improving the adhesion between the semiconductor substrate or resist and the lower layer film.
  • any solvent can be used without particular limitation as long as it can dissolve the solid content.
  • solvents include methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol, propylene glycol monomethyl ether, propylene glycol monoethyl ether, methyl isobutyl carbinol, propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate, propylene glycol mono Ethyl ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclopentanone, cyclohexanone, ethyl 2-hydroxypropionate, ethyl 2-hydroxy-2-methylpropionate, ethyl ethoxy acetate , Ethyl
  • the film forming composition can be used as a resist underlayer film forming composition used in a lithography process.
  • a resist underlayer film-forming composition comprising the film-forming composition of the present invention is applied by an appropriate coating method such as a spinner or a coater, and then baked to form a resist underlayer film.
  • the conditions for firing are appropriately selected from firing temperatures of 80 ° C. to 250 ° C. and firing times of 0.3 to 60 minutes.
  • the firing temperature is 150 ° C. to 250 ° C.
  • the firing time is 0.5 to 2 minutes.
  • the film thickness of the resist underlayer film of the present invention to be formed is, for example, 10 to 1000 nm, 20 to 500 nm, 50 to 300 nm, or 100 to 200 nm.
  • a photoresist layer is formed on the resist underlayer film. Formation of the photoresist layer can be performed by a well-known method, that is, by applying a photoresist composition solution onto the lower layer film and baking.
  • the film thickness of the photoresist is, for example, 50 to 10,000 nm, 100 to 2000 nm, or 200 to 1000 nm.
  • the resist underlayer film of the present invention can be formed thereon, and a photoresist can be further coated thereon.
  • the substrate can be processed by selecting an appropriate etching gas.
  • an appropriate etching gas For example, it is possible to process the resist underlayer film of the present invention using a fluorine-based gas that has a sufficiently high etching rate for photoresist as an etching gas, and a sufficiently high etching rate for the resist underlayer film of the present invention.
  • the organic underlayer film can be processed using an oxygen-based gas as an etching gas, and the substrate can be processed using a fluorine-based gas that provides a sufficiently high etching rate for the organic underlayer film as an etching gas.
  • the photoresist formed on the resist underlayer film of the present invention is not particularly limited as long as it is sensitive to light used for exposure. Either a negative photoresist or a positive photoresist can be used.
  • a positive photoresist comprising a novolac resin and 1,2-naphthoquinonediazide sulfonic acid ester, a chemically amplified photoresist comprising a binder having a group that decomposes with an acid to increase the alkali dissolution rate and a photoacid generator, an acid
  • a chemically amplified photoresist comprising a low-molecular compound that decomposes to increase the alkali dissolution rate of the photoresist, an alkali-soluble binder, and a photoacid generator, and a binder having a group that decomposes with an acid to increase the alkali dissolution rate
  • a chemically amplified photoresist composed of a low molecular weight compound that de
  • Examples include trade name APEX-E manufactured by Shipley, trade name PAR710 manufactured by Sumitomo Chemical Co., Ltd., and trade name SEPR430 manufactured by Shin-Etsu Chemical Co., Ltd. Also, for example, Proc. SPIE, Vol. 3999, 330-334 (2000), Proc. SPIE, Vol. 3999, 357-364 (2000), Proc. SPIE, Vol. 3999, 365-374 (2000), and fluorine-containing polymer-based photoresists.
  • a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), an F2 excimer laser (wavelength 157 nm), or the like can be used.
  • post-exposure bake can be performed as necessary.
  • the post-exposure heating is performed under conditions appropriately selected from a heating temperature of 70 ° C. to 150 ° C. and a heating time of 0.3 to 10 minutes.
  • a resist for electron beam lithography or a resist for EUV lithography can be used instead of a photoresist as a resist.
  • the electron beam resist either a negative type or a positive type can be used.
  • Chemically amplified resist comprising a binder having a group that decomposes with an acid generator and an acid to change the alkali dissolution rate, a low molecular weight compound that decomposes with an alkali-soluble binder, an acid generator and an acid to change the alkali dissolution rate of the resist
  • a chemically amplified resist comprising: a binder having a group that decomposes with an acid generator and an acid to change the alkali dissolution rate; and a chemically amplified resist comprising a low-molecular compound that decomposes with an acid to change the alkali dissolution rate of the resist,
  • non-chemically amplified resists composed of a binder having a group that changes the alkali dissolution rate by being
  • developer for example, an alkali developer
  • a developer for example, an alkali developer
  • Developers include aqueous solutions of alkali metal hydroxides such as potassium hydroxide and sodium hydroxide, aqueous solutions of quaternary ammonium hydroxides such as tetramethylammonium hydroxide, tetraethylammonium hydroxide and choline, ethanolamine, propylamine,
  • An alkaline aqueous solution such as an aqueous amine solution such as ethylenediamine can be mentioned as an example.
  • a surfactant or the like can be added to these developers.
  • the development conditions are appropriately selected from a temperature of 5 to 50 ° C. and a time of 10 to 600 seconds.
  • an organic solvent can be used as a developer.
  • development is performed with a developer (solvent).
  • a developer solvent
  • Developers include, for example, methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxyacetate, ethyl ethoxy acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl Ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, 2-methoxybutyl Cetate, 3-methoxybutyl acetate, 4-methoxybutyl acetate, 3-methyl-3-me
  • the resist underlayer film (intermediate layer) of the present invention is removed using the photoresist (upper layer) pattern thus formed as a protective film, and then the patterned photoresist and the resist underlayer film of the present invention are removed.
  • the organic underlayer film (lower layer) is removed using the film made of (intermediate layer) as a protective film.
  • the semiconductor substrate is processed using the patterned resist underlayer film (intermediate layer) and organic underlayer film (lower layer) of the present invention as a protective film.
  • the resist underlayer film (intermediate layer) of the present invention in a portion where the photoresist has been removed is removed by dry etching to expose the semiconductor substrate.
  • dry etching of the resist underlayer film of the present invention tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen, Gases such as nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride and chlorine trifluoride, chlorine, trichloroborane and dichloroborane can be used.
  • a halogen-based gas for dry etching of the resist underlayer film.
  • a photoresist made of an organic substance is basically difficult to remove.
  • the resist underlayer film of the present invention containing a large amount of silicon atoms is quickly removed by the halogen-based gas. Therefore, it is possible to suppress a decrease in the thickness of the photoresist accompanying dry etching of the resist underlayer film. As a result, the photoresist can be used as a thin film.
  • the dry etching of the resist underlayer film is preferably performed using a fluorine-based gas.
  • fluorine-based gas examples include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), and perfluoropropane (C 3 F 8 ). , Trifluoromethane, and difluoromethane (CH 2 F 2 ).
  • the organic underlayer film is removed using the patterned photoresist and the film made of the resist underlayer film of the present invention as a protective film.
  • the organic underlayer film (underlayer) is preferably formed by dry etching with an oxygen-based gas. This is because the resist underlayer film of the present invention containing a large amount of silicon atoms is difficult to remove by dry etching with an oxygen-based gas.
  • the processing of the semiconductor substrate is preferably performed by dry etching with a fluorine-based gas.
  • fluorine-based gas examples include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, and difluoromethane (CH 2 F 2 ). Can be mentioned.
  • an organic antireflection film can be formed on the resist underlayer film of the present invention before the formation of the photoresist.
  • the antireflective coating composition used there is not particularly limited, and can be arbitrarily selected from those conventionally used in the lithography process, and can be used by a conventional method such as a spinner.
  • the antireflection film can be formed by coating and baking with a coater.
  • the substrate to which the resist underlayer film forming composition comprising the film forming composition of the present invention is applied may have an organic or inorganic antireflection film formed on its surface by a CVD method or the like.
  • the resist underlayer film of the present invention can be formed thereon.
  • the resist underlayer film formed from the resist underlayer film forming composition of the present invention may also absorb light depending on the wavelength of light used in the lithography process. In such a case, it can function as an antireflection film having an effect of preventing reflected light from the substrate. Furthermore, the resist underlayer film of the present invention is a layer for preventing the interaction between the substrate and the photoresist, the material used for the photoresist, or the function for preventing the adverse effect on the substrate of the material generated upon exposure to the photoresist.
  • a layer having a function of preventing diffusion of the material generated from the substrate upon heating and baking into the upper layer photoresist It is also possible to do.
  • the resist underlayer film formed from the resist underlayer film forming composition of the present invention is applied to a substrate on which via holes used in the dual damascene process are formed, and a hole filling material (embedding material) that can fill the holes without gaps. ) Can be used. Moreover, it can also be used as a planarizing material for planarizing the surface of an uneven semiconductor substrate.
  • the lower layer film of the EUV resist can be used for the following purposes. Without intermixing with the EUV resist, it is possible to prevent reflection of unwanted exposure light such as UV and DUV (ArF light, KrF light) from the substrate or interface during EUV exposure (wavelength 13.5 nm).
  • the resist underlayer film forming composition can be used as a resist underlayer antireflection film. Reflection can be efficiently prevented in the lower layer of the EUV resist.
  • the process can be performed in the same manner as the photoresist underlayer film.
  • R 1 represents formula (2 ′) And is bonded to a silicon atom by a Si—C bond or a Si—O bond.
  • R 2 is an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, or an organic group having a cyano group, Or a combination of these and bonded to a silicon atom by a Si—C bond.
  • R 3 represents an alkoxy group, an acyloxy group, or a halogen group.
  • a represents an integer of 1
  • b represents an integer of 0 to 2
  • a + b represents an integer of 1 to 3.
  • R 4 represents a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, or an acyl group
  • R 5 represents a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, or 1 carbon atom.
  • R 6 represents an alkyl group having 1 to 10 carbon atoms
  • n1 represents an integer of 0 to 10
  • n2 represents 0 or 1 N3, n4 and n5 are integers
  • n3 is 1 ⁇ n3 ⁇ 5, n4 is 0 ⁇ n4 ⁇ 4, and n5 is 0 ⁇ n5 ⁇ 4.
  • K1 represents a bond end with a silicon atom when n1 represents 1 to 10
  • k2 represents a bond end with a silicon atom when n1 represents 0 and n2 represents 1, and k3 represents n1. And when n2 shows 0, the bond end with a silicon atom is shown. What bind
  • couples with a silicon atom in k1 part can be selected.
  • hydrolyzable silane compound represented by the formula (1 ′) examples include the above formula (1-1), formula (1-2), formula (1-3), formula (1-5), formula (1- Examples thereof include compounds represented by 6), formula (1-7), formula (1-8) and formula (1-10). Further, 4- (trimethoxysilyl) benzyl acetate, 4- (triethoxysilyl) benzyl acetate and the like can be mentioned. A compound in which n2 is an integer of 1 in formula (1 ′) can be shown.
  • the reaction solution A (containing 4- (1-ethoxyethoxy) -2,6-dimethylbromobenzene (containing 4-EOEO-2,6-DMePhBr)) was prepared by neutralizing with triethylamine (TEA) 0.63 g. After adding 6.09 g of dried magnesium powder, 0.53 g of iodine, and 189.2 g of tetrahydrofuran (dehydrated) to a 500 ml three-necked flask equipped with a stirrer chip and heating in an oil bath at 60 ° C. until the color of iodine disappears The reaction solution A was dropped at room temperature and reacted for 2 hours to obtain a reaction solution B.
  • TAA triethylamine
  • TMOS tetramethoxysilane
  • 189.2 g of tetrahydrofuran dehydrated
  • Reaction solution B was added dropwise at room temperature and reacted for 2 hours.
  • the resulting solution was concentrated by evaporation, 500 ml of heptane solution was added, stirred and filtered.
  • the obtained filtrate was concentrated and purified by distillation under reduced pressure to obtain the desired product (4- (1-ethoxyethoxy) -2,6-dimethylphenyl) trimethoxysilane (4-EOEO-2,6-DMePhTMOS). 35 g was obtained.
  • the temperature was returned to room temperature, 56.157 g of ethyl acetate and 28.08 g of water were added, and the mixture was neutralized with 0.1N aqueous acetic acid solution. After transferring to a separatory funnel and washing three times with water, 25 g of propylene glycol monomethyl ether acetate was added, and the organic layer was concentrated to perform solvent replacement.
  • the obtained polymer corresponds to the formula (3-2) as the polymer 2, and the molecular weight was Mw12800.
  • the obtained polymer corresponds to the formula (3-5) as the polymer 5, and the molecular weight was Mw 5200.
  • the obtained polymer corresponds to the formula (3-7) as the polymer 7, and the molecular weight was Mw 5600.
  • the obtained polymer corresponds to the formula (3-8) as the polymer 8, and the molecular weight was Mw4300.
  • the obtained polymer corresponds to the formula (3-9) as the polymer 9, and the molecular weight was Mw 4800.
  • the temperature was returned to room temperature, 129.81 g of ethyl acetate was added, and the mixture was neutralized with 0.2N hydrochloric acid aqueous solution. After transferring to a separatory funnel and washing three times with water, 25 g of propylene glycol monomethyl ether acetate was added, and the organic layer was concentrated to perform solvent replacement.
  • the obtained polymer corresponds to the formula (3-10) as the polymer 10, and the molecular weight was Mw 1500.
  • the temperature was returned to room temperature, 33.77 g of ethyl acetate was added, and the mixture was neutralized with 0.2N hydrochloric acid aqueous solution. After transferring to a separatory funnel and washing three times with water, 25 g of propylene glycol monomethyl ether acetate was added, and the organic layer was concentrated to perform solvent replacement.
  • the obtained polymer was a polymer 11 corresponding to the formula (3-11), and the molecular weight was Mw3500.
  • the temperature was returned to room temperature, 62.00 g of ethyl acetate was added, and the mixture was neutralized with an aqueous 0.1N acetic acid solution. After transferring to a separatory funnel and washing three times with water, 30 g of propylene glycol monomethyl ether acetate was added, and the organic layer was concentrated to perform solvent replacement.
  • the obtained polymer corresponds to the formula (3-12) as the polymer 12, and the molecular weight was Mw 4000.
  • the obtained polymer corresponds to the formula (3-13) as the polymer 13, and the molecular weight was Mw2800.
  • the temperature was returned to room temperature, 62.00 g of ethyl acetate was added, and the mixture was neutralized with an aqueous 0.1N acetic acid solution. After transferring to a separatory funnel and washing three times with water, 30 g of propylene glycol monomethyl ether acetate was added, and the organic layer was concentrated to perform solvent replacement.
  • the obtained polymer corresponds to the formula (3-14) as the polymer 14, and the molecular weight was Mw3800.
  • the temperature was returned to room temperature, 73.33 g of ethyl acetate was added, and the mixture was neutralized with a 0.1N acetic acid aqueous solution. After transferring to a separatory funnel and washing three times with water, 25 g of propylene glycol monomethyl ether acetate was added, and the organic layer was concentrated to perform solvent replacement.
  • the obtained polymer corresponds to the formula (4-1) as the polymer 12, and the molecular weight was Mw5200.
  • triphenylsulfonium trifluoromethanesulfonate is TPS105
  • p-toluenesulfonic acid pyridinium salt is pPTS
  • propylene glycol monomethyl ether acetate is PGMEA
  • propylene glycol monomethyl ether is PGME
  • DIC nonionic surfactant is R30N ( Product name).
  • water ultrapure water was used. Each addition amount was shown in parts by mass.
  • the mixture is filtered using a polyethylene microfilter having a pore size of 0.10 ⁇ m, and further filtered using a polyethylene microfilter having a pore size of 0.05 ⁇ m, so that the organic resist underlayer film forming composition used in the lithography process using a multilayer film is formed.
  • a solution was prepared.
  • the organic resist underlayer film forming composition was applied onto a silicon wafer and baked on a hot plate at 240 ° C. for 60 seconds to obtain an organic resist underlayer film having a thickness of 200 nm. Furthermore, the curable resin compositions prepared in Examples 1 to 10, Examples 13 to 15 and Comparative Example 1 were applied by a spinner. Then, it baked on a 215 degreeC hotplate for 1 minute, and formed the cured resin film (film thickness 0.08 micrometer). A commercially available photoresist solution (trade name: TDUR-P3435LP, manufactured by Tokyo Ohka Kogyo Co., Ltd.) was applied onto the film with a spinner, and heated on a hot plate at 90 ° C.
  • the curable resin compositions of Examples 1 to 15 and Comparative Examples 1 and 2 are applied onto the stepped substrate 2 using a spin coater, and then heated on a hot plate at 215 ° C. for 1 minute. As a result, a curable resin film 1 having a thickness of 180 nm was formed.
  • a hole pattern made of CVD-TEOS having a height of 300 nm and a minimum width of 20 nm was used for the stepped substrate.
  • the hole filling shape (embedding property) of the obtained substrate was observed with a cross-sectional SEM, and the hole filling characteristics were evaluated. A case where good embedding property was exhibited without generation of voids was regarded as good.
  • the film forming composition of the present invention has good curability and good embedding in a stepped substrate.
  • a good shape can be exhibited after exposure, development and etching. It can be used as a film forming composition having good effects such as curability and embedding property, and a resist underlayer film used in a lithography process of a semiconductor device.

Abstract

【課題】硬化性や埋め込み性等の良好な効果を有する膜形成組成物、半導体装置のリソグラフィー工程に用いるレジスト下層膜を提供する。【解決手段】 シランとして加水分解性シラン、その加水分解物、又はその加水分解縮合物を含み、該加水分解性シランが式(1):〔式(1)中、R1は式(2):で示される有機基であり且つSi-C結合によりケイ素原子と結合しているものである。〕で示される加水分解性シランを含む膜形成組成物。膜形成組成物が、リソグラフィー工程に使用されるレジスト下層膜形成組成物である。レジスト下層膜形成組成物を半導体基板上に塗布し焼成することによって得られるレジスト下層膜。

Description

架橋反応性シリコン含有膜形成組成物
 本発明は架橋反応性基を有する加水分解性シランを用いた膜形成組成物に関する。
 フィルムやコーティングや被覆剤の形で種々の材料が使用されている。それらの材料の中で例えばシロキサン材料は耐熱性、透明性、耐プラズマ耐性性、平坦化性、等の改善のために用いられている。これらの材料は一般的にはシラノールの脱水縮合によるシロキサン架橋方式が取られているのが一般的である。その他の架橋をもたらすための方法としてシリコーンポリマー中へのアクリレート基の導入がある(特許文献1参照)。これらの基はUV光による照射で架橋し、硬化することが可能であることが示されている。
 また、メチロール架橋を使ったオルガノシロキサンも提案されている(特許文献2参照)。
即ち、少なくとも2つのオルガノポリシロキサン単位とメチロール基を有する含窒素化合物とを含む組成物を用いてオルガノポリシロキサンを架橋することが提案されている。
 また、メチロール架橋を使った架橋材を有する組成物を半導体装置のリソグラフィー工程に用いるレジスト下層膜として用いることが提案されている(特許文献3参照)。
特開平3-275769 特開2009-537645 特開2011-170059
 従来の架橋性を有するポリシロキサンはポリシロキサンの側鎖に組み込まれた官能基、例えばカルボキシル基、ヒドロキシル基、エポキシ基等の官能基と、架橋性化合物との間で架橋反応が行われていた。
 これら架橋システムでは低分子架橋剤が架橋反応に関与していて架橋不良がしばしば発生していた。
 本発明はポリシロキサンの側鎖に架橋反応を生じるアルコキシメチルフェニル基を導入し、この架橋基が相互に、または他の成分との間で架橋構造を形成することにより、十分に強固な架橋構造を形成し耐薬品性にも優れた組成物を提供しようとするものである。
本発明は第1観点として、シランとして加水分解性シラン、その加水分解物、又はその加水分解縮合物を含み、該加水分解性シランが式(1):
Figure JPOXMLDOC01-appb-C000007






〔式(1)中、Rは式(2):
Figure JPOXMLDOC01-appb-C000008








(式(2)中、Rは水素原子、炭素原子数1乃至10のアルキル基、又はアシル基を示し、Rは水素原子、炭素原子数1乃至10のアルキル基、又は炭素原子数1乃至10のアルコキシ基を有する炭素原子数1乃至10のアルキル基を示し、Rは炭素原子数1乃至10のアルキル基を示し、n1は0乃至10の整数を示し、n2は0又は1の整数を示し、n3、n4及びn5は整数であって、n3は1≦n3≦5、n4は0≦n4≦4、n5は0≦n5≦4を示す。そしてk1はn1が1乃至10を示すときの、ケイ素原子との結合端を示し、k2はn1が0を示し及びn2が1を示すときの、ケイ素原子との結合端を示し、k3はn1及びn2が0を示すときの、ケイ素原子との結合端を示す。)で示される有機基であり且つSi-C結合又はSi-O結合によりケイ素原子と結合しているものである。Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、もしくはシアノ基を有する有機基、又はこれらの組み合わせであり且つSi-C結合によりケイ素原子と結合しているものである。Rはアルコキシ基、アシルオキシ基、又はハロゲン基を示す。aは1の整数を示し、bは0乃至2の整数を示し、a+bは1乃至3の整数を示す。〕で示される加水分解性シランを含む膜形成組成物、
第2観点として、該加水分解性シランが、式(1)で示される加水分解性シランとその他の加水分解性シランの組み合わせであり、その他の加水分解性シランが式(3):
Figure JPOXMLDOC01-appb-C000009







(式(3)中、Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアルキル基、アルコキシアリール基、アルコキシアルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、スルホンアミド基、もしくはシアノ基を有する有機基、又はこれらの組み合わせで且つSi-C結合によりケイ素原子と結合しているものであり、Rはアルコキシ基、アシルオキシ基、又はハロゲン基を示し、cは0乃至3の整数を示す。)で示される加水分解性シラン、及び式(4):
Figure JPOXMLDOC01-appb-C000010







(式(4)中、Rはアルキル基で且つSi-C結合によりケイ素原子と結合しているものであり、R10はアルコキシ基、アシルオキシ基、又はハロゲン基を示し、Yはアルキレン基又はアリーレン基を示し、dは0又は1の整数を示し、eは0又は1の整数である。)で示される加水分解性シランからなる群より選ばれた少なくとも1種の加水分解性シランである第1観点に記載の膜形成組成物、
第3観点として、第1観点の式(1)で示される加水分解性シランと第2観点の式(3)で示される加水分解性シランの組み合わせからなる加水分解性シランの加水分解縮合物をポリマーとして含む膜形成組成物、
第4観点として、更に塩を含む第1観点乃至第3観点のいずれか一つに記載の膜形成組成物、
第5観点として、膜形成組成物が、リソグラフィー工程に使用されるレジスト下層膜形成組成物である第1観点乃至第4観点のいずれか一つに記載の膜形成組成物、
第6観点として、第5観点に記載のレジスト下層膜形成組成物の硬化物からなる、半導体基板上に形成されたレジスト下層膜、
第7観点として、第5観点に記載のレジスト下層膜形成組成物を半導体基板上に塗布し、焼成しレジスト下層膜を形成する工程、前記レジスト下層膜の上にレジスト組成物を塗布しレジスト膜を形成する工程、前記レジスト膜を露光する工程、露光後に該レジスト膜を現像しレジストパターンを得る工程、前記レジストパターンによりレジスト下層膜をエッチングする工程、及びパターン化されたレジストとレジスト下層膜により半導体基板を加工する工程を含む半導体装置の製造方法、
第8観点として、半導体基板上に有機下層膜を形成する工程、その上に第5観点に記載のレジスト下層膜形成組成物を塗布し焼成しレジスト下層膜を形成する工程、前記レジスト下層膜の上にレジスト組成物を塗布しレジスト膜を形成する工程、前記レジスト膜を露光する工程、露光後に該レジスト膜を現像しレジストパターンを得る工程、前記レジストパターンによりレジスト下層膜をエッチングする工程、パターン化されたレジスト下層膜により有機下層膜をエッチングする工程、及びパターン化された有機下層膜により半導体基板を加工する工程を含む半導体装置の製造方法、及び
第9観点として、式(1’):
Figure JPOXMLDOC01-appb-C000011








〔式(1’)中、Rは式(2’):
Figure JPOXMLDOC01-appb-C000012








(式(2’)中、Rは水素原子、炭素原子数1乃至10のアルキル基、又はアシル基を示し、Rは水素原子、炭素原子数1乃至10のアルキル基、又は炭素原子数1乃至10のアルコキシ基を有する炭素原子数1乃至10のアルキル基を示し、Rは炭素原子数1乃至10のアルキル基を示し、n1は0乃至10の整数を示し、n2は0又は1の整数を示し、n3、n4及びn5は整数であって、n3は1≦n3≦5、n4は0≦n4≦4、n5は0≦n5≦4を示す。そしてk1はn1が1乃至10を示すときの、ケイ素原子との結合端を示し、k2はn1が0を示し及びn2が1を示すときの、ケイ素原子との結合端を示し、k3はn1及びn2が0を示すときの、ケイ素原子との結合端を示す。)で示される有機基であり且つSi-C結合又はSi-O結合によりケイ素原子と結合しているものである。Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、もしくはシアノ基を有する有機基、又はこれらの組み合わせであり且つSi-C結合によりケイ素原子と結合しているものである。Rはアルコキシ基、アシルオキシ基、又はハロゲン基を示す。aは1の整数を示し、bは0乃至2の整数を示し、a+bは1乃至3の整数を示す。〕で示される加水分解性シランである。
 本発明ではシラン成分中、ポリシロキサンの側鎖に架橋反応を生じるアルコキシメチルフェニル基等を導入することにより、この架橋基が電子リッチなフェニル基との間で相互に架橋反応を形成することができる。また、このアルコキシメチルフェニル基は他の水酸基との間でも架橋構造を形成することができ、十分に強固な架橋構造を形成することができる。従って、本発明の組成物の硬化後に形成された膜は機械的にも耐薬品性にも優れたものとなる。
 本発明の膜形成組成物はこの様な優れた膜を形成できるため、種々の用途の膜形成組成物として使用することができる。例えばシロキサンが本来的に有する耐エッチング性を利用して半導体リソグラフィーの多層プロセスに利用されるレジスト下層膜を形成するためのレジスト下層膜形成組成物として利用できる。典型的には、パターンの微細化に伴いパターン倒れを防止するためにレジストの薄膜化が行われるが、この時にエッチングガス種を組み合わせるとシロキサン層、有機層のエッチング速度比が変わることを利用して、レジストパターンを下層に存在するシリコンハードマスクに転写し、更にその下層に存在する有機下層膜に転写し、最終的にシリコン基板を加工するという様なプロセスが行われる。本発明の膜形成組成物はこのプロセスに用いるシリコンハードマスク(レジスト下層膜)を形成する組成物として使用することも可能である。
 また、本発明の膜形成組成物は、シリコン系の組成物であり、アルコキシメチルフェニル基による架橋反応が生じる温度の調節により、硬化の程度を調整することにより平坦化性が求められる基板の穴埋め材として利用することができる。すなわち、本発明の膜形成組成物はアルコキシメチルフェニル基による架橋反応が生じる温度未満の温度域では流動性が保たれることから、まず架橋反応が生じる温度未満の温度に維持された該組成物を基板上の微細なホールに充填し、その後に温度を上昇させて架橋反応をなすことにより微細なホールに十分に充填された平坦化性の高い膜を形成することができるという効果を奏する。
図1は穴埋め評価試験に用いられた段差基板の断面図を示す。
 本発明は、シランとして加水分解性シラン、その加水分解物、又はその加水分解縮合物を含み、該加水分解性シランが式(1)で示される加水分解性シランを含む膜形成組成物である。
本発明の膜形成組成物は、式(1)で示される加水分解性シラン、その加水分解物、又はその加水分解縮合物と、溶剤とを含む。そして任意成分として酸、水、アルコール、硬化触媒、酸発生剤、他の有機ポリマー、吸光性化合物、及び界面活性剤等を含むことができる。
本発明の膜形成組成物における固形分は、例えば0.1乃至50質量%、又は0.1乃至30質量%、0.1乃至25質量%である。ここで固形分とは膜形成組成物の全成分から溶剤成分を除いたものである。
固形分中に占める加水分解性シラン、その加水分解物、及びその加水分解縮合物の割合は、20質量%以上であり、例えば50乃至100質量%、60乃至99質量%、70乃至99質量%である。
 そして上述の加水分解性シラン、その加水分解物、及びその加水分解縮合物はそれらの混合物として用いることもできる。加水分解性シランを加水分解し、得られた加水分解物を縮合した縮合物として用いることができる。加水分解縮合物を得る際に加水分解が完全に完了しない部分加水分解物やシラン化合物が加水分解縮合物に混合された、その混合物を用いることもできる。この縮合物はポリシロキサン構造を有するポリマーである。このポリシロキサンには式(1)で示される加水分解性シラン、又は式(1)で示される加水分解性シランとその他の加水分解性シラン(例えば式(3)で示される加水分解性シラン)との加水分解縮合物を含む。また、式(1)で示される加水分解性シラン、又は式(1)で示される加水分解性と式(3)で示される加水分解性シランとの組み合わせからなる加水分解性シランの加水分解物の加水分解縮合物(ポリシロキサン)に、式(1で示される加水分解性シラン、又は式(1)で示される加水分解性シランと式(3)で示される加水分解性シランとの混合物からなる加水分解性シランを添加することができる。
 式(1)中、Rは式(2)で示される有機基であり且つSi-C結合又はSi-O結合によりケイ素原子と結合しているものである。Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、もしくはシアノ基を有する有機基、又はこれらの組み合わせであり且つSi-C結合によりケイ素原子と結合しているものである。Rはアルコキシ基、アシルオキシ基、又はハロゲン基を示す。aは1の整数を示し、bは0乃至2の整数を示し、a+bは1乃至3の整数を示す。
式(2)中、Rは水素原子、炭素原子数1乃至10のアルキル基、又はアシル基を示し、Rは水素原子、炭素原子数1乃至10のアルキル基、又は炭素原子数1乃至10のアルコキシ基を有する炭素原子数1乃至10のアルキル基を示し、Rは炭素原子数1乃至10のアルキル基を示し、n1は0乃至10の整数を示し、n2は0又は1の整数を示し、n3、n4及びn5は整数であって、n3は1≦n3≦5、n4は0≦n4≦4、n5は0≦n5≦4を示す。k1部分、k2部分、又はk3部分はケイ素原子との結合端を示し、k1はn1が1乃至10を示すときの、ケイ素原子との結合端を示し、k2はn1が0を示し及びn2が1を示すときの、ケイ素原子との結合端を示し、k3はn1及びn2が0を示すときの、ケイ素原子との結合端を示す。
k1部分でケイ素原子と結合するものを選択することができる。
 アルキル基は例えば炭素原子数1乃至10のアルキル基であり、メチル基、エチル基、n-プロピル基、i-プロピル基、シクロプロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、n-ヘキシル基、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基、1-エチル-2-メチル-n-プロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル基及び2-エチル-3-メチル-シクロプロピル基等が挙げられる。
 アリール基は例えば炭素原子数6乃至40のアリール基であり、フェニル基、o-メチルフェニル基、m-メチルフェニル基、p-メチルフェニル基、o-クロルフェニル基、m-クロルフェニル基、p-クロルフェニル基、o-フルオロフェニル基、p-フルオロフェニル基、o-メトキシフェニル基、p-メトキシフェニル基、p-ニトロフェニル基、p-シアノフェニル基、α-ナフチル基、β-ナフチル基、o-ビフェニリル基、m-ビフェニリル基、p-ビフェニリル基、1-アントリル基、2-アントリル基、9-アントリル基、1-フェナントリル基、2-フェナントリル基、3-フェナントリル基、4-フェナントリル基及び9-フェナントリル基が挙げられる。
 アルケニル基は例えば炭素原子数2乃至10のアルケニル基であり、エテニル基、1-プロペニル基、2-プロペニル基、1-メチル-1-エテニル基、1-ブテニル基、2-ブテニル基、3-ブテニル基、2-メチル-1-プロペニル基、2-メチル-2-プロペニル基、1-エチルエテニル基、1-メチル-1-プロペニル基、1-メチル-2-プロペニル基、1-ペンテニル基、2-ペンテニル基、3-ペンテニル基、4-ペンテニル基、1-n-プロピルエテニル基、1-メチル-1-ブテニル基、1-メチル-2-ブテニル基、1-メチル-3-ブテニル基、2-エチル-2-プロペニル基、2-メチル-1-ブテニル基、2-メチル-2-ブテニル基、2-メチル-3-ブテニル基、3-メチル-1-ブテニル基、3-メチル-2-ブテニル基、3-メチル-3-ブテニル基、1,1-ジメチル-2-プロペニル基、1-i-プロピルエテニル基、1,2-ジメチル-1-プロペニル基、1,2-ジメチル-2-プロペニル基、1-シクロペンテニル基、2-シクロペンテニル基、3-シクロペンテニル基、1-ヘキセニル基、2-ヘキセニル基、3-ヘキセニル基、4-ヘキセニル基、5-ヘキセニル基、1-メチル-1-ペンテニル基、1-メチル-2-ペンテニル基、1-メチル-3-ペンテニル基、1-メチル-4-ペンテニル基、1-n-ブチルエテニル基、2-メチル-1-ペンテニル基、2-メチル-2-ペンテニル基、2-メチル-3-ペンテニル基、2-メチル-4-ペンテニル基、2-n-プロピル-2-プロペニル基、3-メチル-1-ペンテニル基、3-メチル-2-ペンテニル基、3-メチル-3-ペンテニル基、3-メチル-4-ペンテニル基、3-エチル-3-ブテニル基、4-メチル-1-ペンテニル基、4-メチル-2-ペンテニル基、4-メチル-3-ペンテニル基、4-メチル-4-ペンテニル基、1,1-ジメチル-2-ブテニル基、1,1-ジメチル-3-ブテニル基、1,2-ジメチル-1-ブテニル基、1,2-ジメチル-2-ブテニル基、1,2-ジメチル-3-ブテニル基、1-メチル-2-エチル-2-プロペニル基、1-s-ブチルエテニル基、1,3-ジメチル-1-ブテニル基、1,3-ジメチル-2-ブテニル基、1,3-ジメチル-3-ブテニル基、1-i-ブチルエテニル基、2,2-ジメチル-3-ブテニル基、2,3-ジメチル-1-ブテニル基、2,3-ジメチル-2-ブテニル基、2,3-ジメチル-3-ブテニル基、2-i-プロピル-2-プロペニル基、3,3-ジメチル-1-ブテニル基、1-エチル-1-ブテニル基、1-エチル-2-ブテニル基、1-エチル-3-ブテニル基、1-n-プロピル-1-プロペニル基、1-n-プロピル-2-プロペニル基、2-エチル-1-ブテニル基、2-エチル-2-ブテニル基、2-エチル-3-ブテニル基、1,1,2-トリメチル-2-プロペニル基、1-t-ブチルエテニル基、1-メチル-1-エチル-2-プロペニル基、1-エチル-2-メチル-1-プロペニル基、1-エチル-2-メチル-2-プロペニル基、1-i-プロピル-1-プロペニル基、1-i-プロピル-2-プロペニル基、1-メチル-2-シクロペンテニル基、1-メチル-3-シクロペンテニル基、2-メチル-1-シクロペンテニル基、2-メチル-2-シクロペンテニル基、2-メチル-3-シクロペンテニル基、2-メチル-4-シクロペンテニル基、2-メチル-5-シクロペンテニル基、2-メチレン-シクロペンチル基、3-メチル-1-シクロペンテニル基、3-メチル-2-シクロペンテニル基、3-メチル-3-シクロペンテニル基、3-メチル-4-シクロペンテニル基、3-メチル-5-シクロペンテニル基、3-メチレン-シクロペンチル基、1-シクロヘキセニル基、2-シクロヘキセニル基及び3-シクロヘキセニル基等が挙げられる。
 アシル基としては例えば炭素原子数2乃至10のアシル基であり、メチルカルボニル基、エチルカルボニル基、n-プロピルカルボニル基、i-プロピルカルボニル基、シクロプロピルカルボニル基、n-ブチルカルボニル基、i-ブチルカルボニル基、s-ブチルカルボニル基、t-ブチルカルボニル基、シクロブチルカルボニル基、1-メチル-シクロプロピルカルボニル基、2-メチル-シクロプロピルカルボニル基、n-ペンチルカルボニル基、1-メチル-n-ブチルカルボニル基、2-メチル-n-ブチルカルボニル基、3-メチル-n-ブチルカルボニル基、1,1-ジメチル-n-プロピルカルボニル基、1,2-ジメチル-n-プロピルカルボニル基、2,2-ジメチル-n-プロピルカルボニル基、1-エチル-n-プロピルカルボニル基、シクロペンチルカルボニル基、1-メチル-シクロブチルカルボニル基、2-メチル-シクロブチルカルボニル基、3-メチル-シクロブチルカルボニル基、1,2-ジメチル-シクロプロピルカルボニル基、2,3-ジメチル-シクロプロピルカルボニル基、1-エチル-シクロプロピルカルボニル基、2-エチル-シクロプロピルカルボニル基、n-ヘキシルカルボニル基、1-メチル-n-ペンチルカルボニル基、2-メチル-n-ペンチルカルボニル基、3-メチル-n-ペンチルカルボニル基、4-メチル-n-ペンチルカルボニル基、1,1-ジメチル-n-ブチルカルボニル基、1,2-ジメチル-n-ブチルカルボニル基、1,3-ジメチル-n-ブチルカルボニル基、2,2-ジメチル-n-ブチルカルボニル基、2,3-ジメチル-n-ブチルカルボニル基、3,3-ジメチル-n-ブチルカルボニル基、1-エチル-n-ブチルカルボニル基、2-エチル-n-ブチルカルボニル基、1,1,2-トリメチル-n-プロピルカルボニル基等が挙げられる。
 エポキシ基を有する有機基としては、グリシドキシメチル、グリシドキシエチル、グリシドキシプロピル、グリシドキシブチル、エポキシシクロヘキシル等が挙げられる。
 アクリロイル基を有する有機基としては、アクリロイルメチル、アクリロイルエチル、アクリロイルプロピル等が挙げられる。
 メタクリロイル基を有する有機基としては、メタクリロイルメチル、メタクリロイルエチル、メタクリロイルプロピル等が挙げられる。
 メルカプト基を有する有機基としては、エチルメルカプト、ブチルメルカプト、ヘキシルメルカプト、オクチルメルカプト等が挙げられる。
 アミノ基を有する有機基としては、アミノ基、アミノメチル基、アミノエチル基とが挙げられる。
 シアノ基を有する有機基としては、シアノエチル、シアノプロピル等が挙げられる。
 上記炭素原子数1乃至20、又は炭素原子数1乃至10のアルコキシ基としては、炭素数1乃至20の直鎖、分岐、環状のアルキル部分を有するアルコキシ基が挙げられ、例えばメトキシ基、エトキシ基、n-プロポキシ基、i-プロポキシ基、n-ブトキシ基、i-ブトキシ基、s-ブトキシ基、t-ブトキシ基、n-ペンチロキシ基、1-メチル-n-ブトキシ基、2-メチル-n-ブトキシ基、3-メチル-n-ブトキシ基、1,1-ジメチル-n-プロポキシ基、1,2-ジメチル-n-プロポキシ基、2,2-ジメチル-n-プロポキシ基、1-エチル-n-プロポキシ基、n-ヘキシロキシ基、1-メチル-n-ペンチロキシ基、2-メチル-n-ペンチロキシ基、3-メチル-n-ペンチロキシ基、4-メチル-n-ペンチロキシ基、1,1-ジメチル-n-ブトキシ基、1,2-ジメチル-n-ブトキシ基、1,3-ジメチル-n-ブトキシ基、2,2-ジメチル-n-ブトキシ基、2,3-ジメチル-n-ブトキシ基、3,3-ジメチル-n-ブトキシ基、1-エチル-n-ブトキシ基、2-エチル-n-ブトキシ基、1,1,2-トリメチル-n-プロポキシ基、1,2,2-トリメチル-n-プロポキシ基、1-エチル-1-メチル-n-プロポキシ基及び1-エチル-2-メチル-n-プロポキシ基等が、また環状のアルコキシ基としてはシクロプロポキシ基、シクロブトキシ基、1-メチル-シクロプロポキシ基、2-メチル-シクロプロポキシ基、シクロペンチロキシ基、1-メチル-シクロブトキシ基、2-メチル-シクロブトキシ基、3-メチル-シクロブトキシ基、1,2-ジメチル-シクロプロポキシ基、2,3-ジメチル-シクロプロポキシ基、1-エチル-シクロプロポキシ基、2-エチル-シクロプロポキシ基、シクロヘキシロキシ基、1-メチル-シクロペンチロキシ基、2-メチル-シクロペンチロキシ基、3-メチル-シクロペンチロキシ基、1-エチル-シクロブトキシ基、2-エチル-シクロブトキシ基、3-エチル-シクロブトキシ基、1,2-ジメチル-シクロブトキシ基、1,3-ジメチル-シクロブトキシ基、2,2-ジメチル-シクロブトキシ基、2,3-ジメチル-シクロブトキシ基、2,4-ジメチル-シクロブトキシ基、3,3-ジメチル-シクロブトキシ基、1-n-プロピル-シクロプロポキシ基、2-n-プロピル-シクロプロポキシ基、1-i-プロピル-シクロプロポキシ基、2-i-プロピル-シクロプロポキシ基、1,2,2-トリメチル-シクロプロポキシ基、1,2,3-トリメチル-シクロプロポキシ基、2,2,3-トリメチル-シクロプロポキシ基、1-エチル-2-メチル-シクロプロポキシ基、2-エチル-1-メチル-シクロプロポキシ基、2-エチル-2-メチル-シクロプロポキシ基及び2-エチル-3-メチル-シクロプロポキシ基等が挙げられる。
 上記炭素原子数2乃至20、炭素原子数1乃至10のアシルオキシ基は、例えばメチルカルボニルオキシ基、エチルカルボニルオキシ基、n-プロピルカルボニルオキシ基、i-プロピルカルボニルオキシ基、n-ブチルカルボニルオキシ基、i-ブチルカルボニルオキシ基、s-ブチルカルボニルオキシ基、t-ブチルカルボニルオキシ基、n-ペンチルカルボニルオキシ基、1-メチル-n-ブチルカルボニルオキシ基、2-メチル-n-ブチルカルボニルオキシ基、3-メチル-n-ブチルカルボニルオキシ基、1,1-ジメチル-n-プロピルカルボニルオキシ基、1,2-ジメチル-n-プロピルカルボニルオキシ基、2,2-ジメチル-n-プロピルカルボニルオキシ基、1-エチル-n-プロピルカルボニルオキシ基、n-ヘキシルカルボニルオキシ基、1-メチル-n-ペンチルカルボニルオキシ基、2-メチル-n-ペンチルカルボニルオキシ基、3-メチル-n-ペンチルカルボニルオキシ基、4-メチル-n-ペンチルカルボニルオキシ基、1,1-ジメチル-n-ブチルカルボニルオキシ基、1,2-ジメチル-n-ブチルカルボニルオキシ基、1,3-ジメチル-n-ブチルカルボニルオキシ基、2,2-ジメチル-n-ブチルカルボニルオキシ基、2,3-ジメチル-n-ブチルカルボニルオキシ基、3,3-ジメチル-n-ブチルカルボニルオキシ基、1-エチル-n-ブチルカルボニルオキシ基、2-エチル-n-ブチルカルボニルオキシ基、1,1,2-トリメチル-n-プロピルカルボニルオキシ基、1,2,2-トリメチル-n-プロピルカルボニルオキシ基、1-エチル-1-メチル-n-プロピルカルボニルオキシ基、1-エチル-2-メチル-n-プロピルカルボニルオキシ基、フェニルカルボニルオキシ基、及びトシルカルボニルオキシ基等が挙げられる。
 上記ハロゲン基としてはフッ素、塩素、臭素、ヨウ素等が挙げられる。
 上記加水分解性シランは、式(1)で示される加水分解性シランとその他の加水分解性シランの組み合わせとして用いることができる。
 その他の加水分解性シランは、式(3)及び式(4)からなる群より選ばれた少なくとも1種の加水分解性シランを用いることができる。
 式(3)中、Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアルキル基、アルコキシアリール基、アルコキシアルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、スルホンアミド基、もしくはシアノ基を有する有機基、又はこれらの組み合わせで且つSi-C結合によりケイ素原子と結合しているものであり、Rはアルコキシ基、アシルオキシ基、又はハロゲン基を示し、cは0乃至3の整数を示す。
 式(4)中、Rはアルキル基で且つSi-C結合によりケイ素原子と結合しているものであり、R10はアルコキシ基、アシルオキシ基、又はハロゲン基を示し、Yはアルキレン基又はアリーレン基を示し、dは0又は1の整数を示し、eは0又は1の整数である。
アルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアルキル基、アルコキシアリール基、アルコキシアルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、スルホンアミド基、もしくはシアノ基を有する有機基、アルコキシ基、アシルオキシ基、ハロゲン基は上述の例示を用いることができる。
 アルコキシアルキル基はアルコキシ基が置換したアルキル基であり、例えばメトキシメチル基、エトキシメチル基、エトキシエチル基、エトキシメチル基等が挙げられる。
アルコキシアリール基はアルコキシ基が置換したアリール基であり、例えばメトキシフェニル基、エトキシフェニル基等が挙げられる。
アルコキシアルコキシアリール基はアルコキシ基がアルコキシ基に置換した有機基が置換したアリール基であり、メトキシメトキシフェニル基、メトキシエトキシフェニル基、エトキシメトキシフェニル基、エトキシエトキシフェニル基等が挙げられる。
 膜形成組成物は、式(1)で示される加水分解性シランと式(3)で示される加水分解性シランの組み合わせからなる加水分解性シランの加水分解縮合物をポリマーとして含むことができる。
 式(1)で示される加水分解性シランは以下に例示することができる。
Figure JPOXMLDOC01-appb-C000013








Figure JPOXMLDOC01-appb-C000014








 上記Meはメチル基を示し、Etはエチル基を示す。以下本明細書ではこれらの略号を使用することもある。
 本発明では該加水分解性シランが、式(1)で示される加水分解性シランとその他の加水分解性シランの組み合わせであり、その他の加水分解性シランが式(3)及び式(4)からなる群より選ばれた少なくとも1種の加水分解性シランを用いることができる。
 式(3)で示されるケイ素含有化合物は例えば、テトラメトキシシラン、テトラクロルシラン、テトラアセトキシシラン、テトラエトキシシラン、テトラn-プロポキシシラン、テトライソプロポキシシラン、テトラn-ブトキシシラン、メチルトリメトキシシラン、メチルトリクロロシラン、メチルトリアセトキシシラン、メチルトリプロポキシシラン、メチルトリブトキシシラン、メチルトリアミロキシシラン、メチルトリフェノキシシラン、メチルトリベンジルオキシシラン、メチルトリフェネチルオキシシラン、グリシドキシメチルトリメトキシシラン、グリシドキシメチルトリエトキシシラン、αーグリシドキシエチルトリメトキシシラン、α-グリシドキシエチルトリエトキシシラン、β-グリシドキシエチルトリメトキシシラン、β-グリシドキシエチルトリエトキシシラン、α-グリシドキシプロピルトリメトキシシラン、α-グリシドキシプロピルトリエトキシシラン、β-グリシドキシプロピルトリメトキシシラン、β-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン、γ-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリプロポキシシラン、γ-グリシドキシプロピルトリブトキシシラン、γ-グリシドキシプロピルトリフェノキシシラン、α-グリシドキシブチルトリメトキシシラン、α-グリシドキシブチルトリエトキシシラン、β-グリシドキシブチルトリエトキシシラン、γ-グリシドキシブチルトリメトキシシラン、γ-グリシドキシブチルトリエトキシシラン、δ-グリシドキシブチルトリメトキシシラン、δ-グリシドキシブチルトリエトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリメトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリプロポキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリブトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリフェノキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリメトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリエトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリメトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリエトキシシラン、グリシドキシメチルメチルジメトキシシラン、グリシドキシメチルメチルジエトキシシラン、α-グリシドキシエチルメチルジメトキシシラン、α-グリシドキシエチルメチルジエトキシシラン、β-グリシドキシエチルメチルジメトキシシラン、β-グリシドキシエチルエチルジメトキシシラン、α-グリシドキシプロピルメチルジメトキシシラン、α-グリシドキシプロピルメチルジエトキシシラン、β-グリシドキシプロピルメチルジメトキシシラン、β-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルメチルジメトキシシラン、γ-グリシドキシプロピルメチルジエトキシシラン、γ-グリシドキシプロピルメチルジプロポキシシラン、γ-グリシドキシプロピルメチルジブトキシシラン、γ-グリシドキシプロピルメチルジフェノキシシラン、γ-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルエチルジエトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリクロロシラン、ビニルトリアセトキシシラン、ビニルトリエトキシシラン、メトキシフェニルトリメトキシシラン、メトキシフェニルトリエトキシシラン、メトキシフェニルトリアセトキシシラン、メトキシフェニルトリクロロシラン、メトキシベンジルトリメトキシシラン、メトキシベンジルトリエトキシシラン、メトキシベンジルトリアセトキシシラン、メトキシベンジルトリクロロシラン、メトキシフェネチルトリメトキシシラン、メトキシフェネチルトリエトキシシラン、メトキシフェネチルトリアセトキシシラン、メトキシフェネチルトリクロロシラン、エトキシフェニルトリメトキシシラン、エトキシフェニルトリエトキシシラン、エトキシフェニルトリアセトキシシラン、エトキシフェニルトリクロロシラン、エトキシベンジルトリメトキシシラン、エトキシベンジルトリエトキシシラン、エトキシベンジルトリアセトキシシラン、エトキシベンジルトリクロロシラン、イソプロポキシフェニルトリメトキシシラン、イソプロポキシフェニルトリエトキシシラン、イソプロポキシフェニルトリアセトキシシラン、イソプロポキシフェニルトリクロロシラン、イソプロポキシベンジルトリメトキシシラン、イソプロポキシベンジルトリエトキシシラン、イソプロポキシベンジルトリアセトキシシラン、イソプロポキシベンジルトリクロロシラン、t-ブトキシフェニルトリメトキシシラン、t-ブトキシフェニルトリエトキシシラン、t-ブトキシフェニルトリアセトキシシラン、t-ブトキシフェニルトリクロロシラン、t-ブトキシベンジルトリメトキシシラン、t-ブトキシベンジルトリエトキシシラン、t-ブトキシベンジルトリアセトキシシラン、t-ブトキシシベンジルトリクロロシラン、メトキシナフチルトリメトキシシラン、メトキシナフチルトリエトキシシラン、メトキシナフチルトリアセトキシシラン、メトキシナフチルトリクロロシラン、エトキシナフチルトリメトキシシラン、エトキシナフチルトリエトキシシラン、エトキシナフチルトリアセトキシシラン、エトキシナフチルトリクロロシラン、γ-クロロプロピルトリメトキシシラン、γ-クロロプロピルトリエトキシシラン、γ-クロロプロピルトリアセトキシシラン、3、3、3-トリフロロプロピルトリメトキシシラン、γ-メタクリルオキシプロピルトリメトキシシラン、γ-メルカプトプロピルトリメトキシシラン、γ-メルカプトプロピルトリエトキシシラン、β-シアノエチルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロメチルトリエトキシシラン、ジメチルジメトキシシラン、フェニルメチルジメトキシシラン、ジメチルジエトキシシラン、フェニルメチルジエトキシシラン、γ-クロロプロピルメチルジメトキシシラン、γ-クロロプロピルメチルジエトキシシラン、ジメチルジアセトキシシラン、γ-メタクリルオキシプロピルメチルジメトキシシラン、γ-メタクリルオキシプロピルメチルジエトキシシラン、γ-メルカプトプロピルメチルジメトキシシラン、γ-メルカプトメチルジエトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシラン等が挙げられる。
 また、以下の加水分解性シランも用いることができる。
Figure JPOXMLDOC01-appb-C000015






Figure JPOXMLDOC01-appb-C000016






Figure JPOXMLDOC01-appb-C000017






 式(4)で示されるケイ素含有化合物は例えば、メチレンビストリメトキシシラン、メチレンビストリクロロシラン、メチレンビストリアセトキシシラン、エチレンビストリエトキシシラン、エチレンビストリクロロシラン、エチレンビストリアセトキシシラン、プロピレンビストリエトキシシラン、ブチレンビストリメトキシシラン、フェニレンビストリメトキシシラン、フェニレンビストリエトキシシラン、フェニレンビスメチルジエトキシシラン、フェニレンビスメチルジメトキシシラン、ナフチレンビストリメトキシシラン、ビストリメトキシジシラン、ビストリエトキシジシラン、ビスエチルジエトキシジシラン、ビスメチルジメトキシジシラン等が挙げられる。
 本発明では更に加水分解性シランとして、スルホン基を有するシランや、スルホンアミド基を有するシランを用いることができ、それらは例えば以下に例示することができる。
Figure JPOXMLDOC01-appb-C000018






Figure JPOXMLDOC01-appb-C000019






Figure JPOXMLDOC01-appb-C000020






 本発明に用いられる加水分解縮合物(ポリシロキサン)の具体例としては以下が例示される。
Figure JPOXMLDOC01-appb-C000021








Figure JPOXMLDOC01-appb-C000022







Figure JPOXMLDOC01-appb-C000023








Figure JPOXMLDOC01-appb-C000024







上記の加水分解性シランの加水分解縮合物(ポリオルガノシロキサン)は、重量平均分子量1000乃至1000000、又は1000乃至100000の縮合物を得ることができる。これらの分子量はGPC分析によるポリスチレン換算で得られる分子量である。
GPCの測定条件は、例えばGPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名ShodexKF803L、KF802、KF801、昭和電工製)、カラム温度は40℃、溶離液(溶出溶媒)はテトラヒドロフラン、流量(流速)は1.0ml/分、標準試料はポリスチレン(昭和電工株式会社製)を用いて行うことができる。
 アルコキシシリル基、アシロキシシリル基、又はハロゲン化シリル基の加水分解には、加水分解性基の1モル当たり、0.5乃至100モル、好ましくは1乃至10モルの水を用いる。
また、加水分解性基の1モル当たり0.001乃至10モル、好ましくは0.001乃至1モルの加水分解触媒を用いることができる。
加水分解と縮合を行う際の反応温度は、通常20乃至80℃である。
 加水分解は完全に加水分解を行うことも、部分加水分解することでも良い。即ち、加水分解縮合物中に加水分解物やモノマーが残存していても良い。
加水分解し縮合させる際に触媒を用いることができる。
加水分解触媒としては、金属キレート化合物、有機酸、無機酸、有機塩基、無機塩基を挙げることができる。
 加水分解触媒としての金属キレート化合物は、例えばトリエトキシ・モノ(アセチルアセトナート)チタン、トリ-n-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-i-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-n-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-sec-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-t-ブトキシ・モノ(アセチルアセトナート)チタン、ジエトキシ・ビス(アセチルアセトナート)チタン、ジ-n-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-i-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-n-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-sec-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-t-ブトキシ・ビス(アセチルアセトナート)チタン、モノエトキシ・トリス(アセチルアセトナート)チタン、モノ-n-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-i-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-n-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-sec-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-t-ブトキシ・トリス(アセチルアセトナート)チタン、テトラキス(アセチルアセトナート)チタン、トリエトキシ・モノ(エチルアセトアセテート)チタン、トリ-n-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-i-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-n-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-t-ブトキシ・モノ(エチルアセトアセテート)チタン、ジエトキシ・ビス(エチルアセトアセテート)チタン、ジ-n-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-i-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-n-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-t-ブトキシ・ビス(エチルアセトアセテート)チタン、モノエトキシ・トリス(エチルアセトアセテート)チタン、モノ-n-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-i-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-n-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-t-ブトキシ・トリス(エチルアセトアセテート)チタン、テトラキス(エチルアセトアセテート)チタン、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)チタン、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)チタン、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)チタン、等のチタンキレート化合物;トリエトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-i-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-sec-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-t-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、ジエトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-i-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-sec-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-t-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、モノエトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-i-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-sec-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-t-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、テトラキス(アセチルアセトナート)ジルコニウム、トリエトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-i-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-t-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、ジエトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-i-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-t-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、モノエトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-i-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-t-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、テトラキス(エチルアセトアセテート)ジルコニウム、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)ジルコニウム、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)ジルコニウム、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)ジルコニウム、等のジルコニウムキレート化合物;トリス(アセチルアセトナート)アルミニウム、トリス(エチルアセトアセテート)アルミニウム等のアルミニウムキレート化合物;などを挙げることができる。
 加水分解触媒としての有機酸は、例えば酢酸、プロピオン酸、ブタン酸、ペンタン酸、ヘキサン酸、ヘプタン酸、オクタン酸、ノナン酸、デカン酸、シュウ酸、マレイン酸、メチルマロン酸、アジピン酸、セバシン酸、没食子酸、酪酸、メリット酸、アラキドン酸、2-エチルヘキサン酸、オレイン酸、ステアリン酸、リノール酸、リノレイン酸、サリチル酸、安息香酸、p-アミノ安息香酸、p-トルエンスルホン酸、ベンゼンスルホン酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、トリフルオロ酢酸、ギ酸、マロン酸、スルホン酸、フタル酸、フマル酸、クエン酸、酒石酸等を挙げることができる。
 加水分解触媒としての無機酸は、例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができる。
 加水分解触媒としての有機塩基は、例えばピリジン、ピロール、ピペラジン、ピロリジン、ピペリジン、ピコリン、トリメチルアミン、トリエチルアミン、モノエタノールアミン、ジエタノールアミン、ジメチルモノエタノールアミン、モノメチルジエタノールアミン、トリエタノールアミン、ジアザビシクロオクタン、ジアザビシクロノナン、ジアザビシクロウンデセン、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、トリメチルフェニルアンモニウムヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド等を挙げることができる。
無機塩基としては、例えばアンモニア、水酸化ナトリウム、水酸化カリウム、水酸化バリウム、水酸化カルシウム等を挙げることができる。これら触媒の内、金属キレート化合物、有機酸、無機酸が好ましく、これらは1種あるいは2種以上を同時に使用しても良い。
 加水分解に用いられる有機溶媒としては、例えばn-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素系溶媒;ベンゼン、トルエン、キシレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンセン、i-プロピルベンセン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンセン、n-アミルナフタレン、トリメチルベンゼン等の芳香族炭化水素系溶媒;メタノール、エタノール、n-プロパノール、i-プロパノール、n-ブタノール、i-ブタノール、sec-ブタノール、t-ブタノール、n-ペンタノール、i-ペンタノール、2-メチルブタノール、sec-ペンタノール、t-ペンタノール、3-メトキシブタノール、n-ヘキサノール、2-メチルペンタノール、sec-ヘキサノール、2-エチルブタノール、sec-ヘプタノール、ヘプタノール-3、n-オクタノール、2-エチルヘキサノール、sec-オクタノール、n-ノニルアルコール、2,6-ジメチルヘプタノール-4、n-デカノール、sec-ウンデシルアルコール、トリメチルノニルアルコール、sec-テトラデシルアルコール、sec-ヘプタデシルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5-トリメチルシクロヘキサノール、ベンジルアルコール、フェニルメチルカルビノール、ジアセトンアルコール、クレゾール等のモノアルコール系溶媒;エチレングリコール、プロピレングリコール、1,3-ブチレングリコール、ペンタンジオール-2,4、2-メチルペンタンジオール-2,4、ヘキサンジオール-2,5、ヘプタンジオール-2,4、2-エチルヘキサンジオール-1,3、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、グリセリン等の多価アルコール系溶媒;アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒;エチルエーテル、i-プロピルエーテル、n-ブチルエーテル、n-ヘキシルエーテル、2-エチルヘキシルエーテル、エチレンオキシド、1,2-プロピレンオキシド、ジオキソラン、4-メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ-n-ブチルエーテル、エチレングリコールモノ-n-ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ-n-ブチルエーテル、ジエチレングリコールジ-n-ブチルエーテル、ジエチレングリコールモノ-n-ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ-n-ブチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2-メチルテトラヒドロフラン等のエーテル系溶媒;ジエチルカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等のエステル系溶媒;N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチルピロリドン等の含窒素系溶媒;硫化ジメチル、硫化ジエチル、チオフェン、テトラヒドロチオフェン、ジメチルスルホキシド、スルホラン、1,3-プロパンスルトン等の含硫黄系溶媒等を挙げることができる。これらの溶剤は1種又は2種以上の組み合わせで用いることができる。
 特に、アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒が溶液の保存安定性の点で好ましい。
 また、添加剤としてビスフェノールS、又はビスフェノールS誘導体を添加することができる。ビスフェノールS、又はビスフェノールS誘導体はポリオルガノシロキサン100質量部に対して、0.01乃至20質量部、または0.01乃至10質量部、または0.01乃至5質量部である。
 好ましいビスフェノールS、又はビスフェノールS誘導体は以下に例示される。
Figure JPOXMLDOC01-appb-C000025






 本発明の膜形成組成物は硬化触媒を含有することができる。硬化触媒は、加水分解縮合物からなるポリオルガノシロキサンを含有する塗布膜を加熱し硬化させる時に硬化触媒の働きをする。
 硬化触媒としては、アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩を用いることができる。
アンモニウム塩としては、式(D-1):
Figure JPOXMLDOC01-appb-C000026








(但し、mは2乃至11、nは2乃至3の整数を、R21 はアルキル基又はアリール基を、YA は陰イオンを示す。)で示される構造を有する第4級アンモニウム塩、
式(D-2):
Figure JPOXMLDOC01-appb-C000027






(但し、R22、R23、R24及びR25はアルキル基又はアリール基を、Nは窒素原子を、YA は陰イオンを示し、且つR22、R23、R24、及びR25はそれぞれC-N結合により窒素原子と結合されているものである)で示される構造を有する第4級アンモニウム塩、
式(D-3):
Figure JPOXMLDOC01-appb-C000028






(但し、R26及びR27はアルキル基又はアリール基を、YA は陰イオンを示す)で示される構造を有する第4級アンモニウム塩、
式(D-4):
Figure JPOXMLDOC01-appb-C000029






(但し、R28はアルキル基又はアリール基を、YA は陰イオンを示す)で示される構造を有する第4級アンモニウム塩、
式(D-5):
Figure JPOXMLDOC01-appb-C000030






(但し、R29及びR30はアルキル基又はアリール基を、YA は陰イオンを示す)で示される構造を有する第4級アンモニウム塩、
式(D-6):
Figure JPOXMLDOC01-appb-C000031






(但し、mは2乃至11、nは2乃至3の整数を、Hは水素原子を、YA は陰イオンを示す)で示される構造を有する第3級アンモニウム塩が挙げられる。
また、ホスホニウム塩としては、式(D-7):
Figure JPOXMLDOC01-appb-C000032






(但し、R31、R32、R33、及びR34はアルキル基又はアリール基を、Pはリン原子を、YA は陰イオンを示し、且つR31、R32、R33、及びR34はそれぞれC-P結合によりリン原子と結合されているものである)で示される第4級ホスホニウム塩が挙げられる。
また、スルホニウム塩としては、式(D-8):
Figure JPOXMLDOC01-appb-C000033






(但し、R35、R36、及びR37はアルキル基又はアリール基を、Sは硫黄原子を、YA は陰イオンを示し、且つR35、R36、及びR37はそれぞれC-S結合により硫黄原子と結合されているものである)で示される第3級スルホニウム塩が挙げられる。
 上記の式(D-1)で示される化合物は、アミンから誘導される第4級アンモニウム塩であり、mは2乃至11、nは2乃至3の整数を示す。この第4級アンモニウム塩のR21は炭素数1乃至18、好ましくは2乃至10のアルキル基又はアリール基を示し、例えば、エチル基、プロピル基、ブチル基等の直鎖アルキル基や、ベンジル基、シクロヘキシル基、シクロヘキシルメチル基、ジシクロペンタジエニル基等が挙げられる。また陰イオン(YA )は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。
 上記の式(D-2)で示される化合物は、R22232425A で示される第4級アンモニウム塩である。この第4級アンモニウム塩のR22、R23、R24及びR25は炭素数1乃至18のアルキル基又はアリール基、またはSi-C結合によりケイ素原子と結合しているシラン化合物である。陰イオン(YA )は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この第4級アンモニウム塩は、市販品で入手する事が可能であり、例えばテトラメチルアンモニウムアセテート、テトラブチルアンモニウムアセテート、塩化トリエチルベンジルアンモニウム、臭化トリエチルベンジルアンモニウム、塩化トリオクチルメチルアンモニウム、塩化トリブチルベンジルアンモニウム、塩化トリメチルベンジルアンモニウム等が例示される。
 上記の式(D-3)で示される化合物は、1-置換イミダゾールから誘導される第4級アンモニウム塩であり、R26及びR27は炭素数1乃至18のアルキル基又はアリール基であり、R26及びR27の炭素数の総和が7以上で有ることが好ましい。例えばR26はメチル基、エチル基、プロピル基、フェニル基、ベンジル基を、R27はベンジル基、オクチル基、オクタデシル基を例示する事が出来る。陰イオン(YA )は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この化合物は、市販品で入手する事も出来るが、例えば1-メチルイミダゾール、1-ベンジルイミダゾール等のイミダゾール系化合物と、臭化ベンジル、臭化メチル等のハロゲン化アルキルやハロゲン化アリールを反応させて製造する事ができる。
 上記の式(D-4)で示される化合物は、ピリジンから誘導される第4級アンモニウム塩であり、R28は炭素数1乃至18、好ましくは炭素数4乃至18のアルキル基又はアリール基であり、例えばブチル基、オクチル基、ベンジル基、ラウリル基を例示する事が出来る。陰イオン(YA )は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この化合物は、市販品として入手する事も出来るが、例えばピリジンと、塩化ラウリル、塩化ベンジル、臭化ベンジル、臭化メチル、臭化オクチル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造する事が出来る。この化合物は例えば、塩化N-ラウリルピリジニウム、臭化N-ベンジルピリジニウム等を例示する事が出来る。
 上記の式(D-5)で示される化合物は、ピコリン等に代表される置換ピリジンから誘導される第4級アンモニウム塩であり、R29は炭素数1乃至18、好ましくは4乃至18のアルキル基又はアリール基であり、例えばメチル基、オクチル基、ラウリル基、ベンジル基等を例示する事が出来る。R30は炭素数1乃至18のアルキル基又はアリール基であり、例えばピコリンから誘導される第4級アンモニウムである場合はR30はメチル基である。陰イオン(YA )は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この化合物は市販品として入手する事も出来るが、例えばピコリン等の置換ピリジンと、臭化メチル、臭化オクチル、塩化ラウリル、塩化ベンジル、臭化ベンジル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造する事が出来る。この化合物は例えば、N-ベンジルピコリニウムクロライド、N-ベンジルピコリニウムブロマイド、N-ラウリルピコリニウムクロライド等を例示することが出来る。
 上記の式(D-6)で示される化合物は、アミンから誘導される第3級アンモニウム塩であり、mは2乃至11、nは2乃至3の整数を示す。また陰イオン(YA )は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。アミンとカルボン酸やフェノール等の弱酸との反応によって製造する事が出来る。カルボン酸としてはギ酸や酢酸が挙げられ、ギ酸を使用した場合は、陰イオン(YA )は(HCOO)であり、酢酸を使用した場合は、陰イオン(YA )は(CHCOO)である。またフェノールを使用した場合は、陰イオン(YA )は(C)である。
 上記の式(D-7)で示される化合物は、R31323334A で示される構造を有する第4級ホスホニウム塩である。R31、R32、R33、及びR34は炭素数1乃至18のアルキル基又はアリール基、またはSi-C結合によりケイ素原子と結合しているシラン化合物であるが、好ましくはR31乃至R34の4つの置換基の内で3つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示する事が出来、また残りの1つは炭素数1乃至18のアルキル基、アリール基、又はSi-C結合によりケイ素原子と結合しているシラン化合物である。また陰イオン(YA )は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この化合物は市販品として入手する事が可能であり、例えばハロゲン化テトラn-ブチルホスホニウム、ハロゲン化テトラn-プロピルホスホニウム等のハロゲン化テトラアルキルホスホニウム、ハロゲン化トリエチルベンジルホスホニウム等のハロゲン化トリアルキルベンジルホスホニウム、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム、ハロゲン化テトラフェニルホスホニウム、ハロゲン化トリトリルモノアリールホスホニウム、或いはハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が挙げられる。特に、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム等のハロゲン化トリフェニルモノアリールホスホニウム、ハロゲン化トリトリルモノフェニルホスホニウム等のハロゲン化トリトリルモノアリールホスホニウムや、ハロゲン化トリトリルモノメチルホスホニウム等のハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が好ましい。
 また、ホスフィン類としては、メチルホスフィン、エチルホスフィン、プロピルホスフィン、イソプロピルホスフィン、イソブチルホスフィン、フェニルホスフィン等の第一ホスフィン、ジメチルホスフィン、ジエチルホスフィン、ジイソプロピルホスフィン、ジイソアミルホスフィン、ジフェニルホスフィン等の第二ホスフィン、トリメチルホスフィン、トリエチルホスフィン、トリフェニルホスフィン、メチルジフェニルホスフィン、ジメチルフェニルホスフィン等の第三ホスフィンが挙げられる。
 上記の式(D-8)で示される化合物は、R353637A で示される構造を有する第3級スルホニウム塩である。R35、R36、及びR37は炭素数1乃至18のアルキル基又はアリール基、またはSi-C結合によりケイ素原子と結合しているシラン化合物であるが、好ましくはR35乃至R37の4つの置換基の内で3つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示する事が出来、また残りの1つは炭素数1乃至18のアルキル基、又はアリール基である。また陰イオン(YA )は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)、マレイン酸アニオン、硝酸アニオン等の酸基を挙げることが出来る。この化合物は市販品として入手する事が可能であり、例えばハロゲン化トリn-ブチルスルホニウム、ハロゲン化トリn-プロピルスルホニウム等のハロゲン化テトラアルキルスルホニウム、ハロゲン化ジエチルベンジルスルホニウム等のハロゲン化トリアルキルベンジルスルホニウム、ハロゲン化ジフェニルメチルスルホニウム、ハロゲン化ジフェニルエチルスルホニウム等のハロゲン化ジフェニルモノアルキルスルホニウム、ハロゲン化トリフェニルスルホニウム、(ハロゲン原子は塩素原子又は臭素原子)、トリn-ブチルスルホニウムカルボキシラート、トリn-プロピルスルホニウムカルボキシラート等のテトラアルキルホスフォニウムカルボキシラート、ジエチルベンジルスルホニウムカルボキシラート等のトリアルキルベンジルスルホニウムカルボキシラート、ジフェニルメチルスルホニウムカルボキシラート、ジフェニルエチルスルホニウムカルボキシラート等のジフェニルモノアルキルスルホニウムカルボキシラート、トリフェニルスルホニウムカルボキシラート。また、ハロゲン化トリフェニルスルホニウム、トリフェニルスルホニウムカルボキシラートが好ましく用いることができる。
 また、本発明では硬化触媒として窒素含有シラン化合物を添加することができる。窒素含有シラン化合物としてはN-(3-トリエトキシシリルプロピル)-4,5-ジヒドロイミダゾール等のイミダゾール環含有シラン化合物が挙げられる。
 硬化触媒はポリオルガノシロキサン100質量部に対して、0.01乃至10質量部、または0.01乃至5質量部、または0.01乃至3質量部である。
 加水分解性シランを溶剤中で触媒を用いて加水分解し縮合し、得られた加水分解縮合物(ポリマー)は減圧蒸留等により副生成物のアルコールや用いた加水分解触媒や水を同時に除去することができる。また、加水分解に用いた酸や塩基触媒を中和やイオン交換により取り除くことができる。そして本発明の膜形成組成物には、その加水分解縮合物を含む膜形成組成物の安定化のために有機酸、水、アルコール、又はそれらの組み合わせを添加することができる。
 上記有機酸としては、例えばシュウ酸、マロン酸、メチルマロン酸、コハク酸、マレイン酸、リンゴ酸、酒石酸、フタル酸、クエン酸、グルタル酸、クエン酸、乳酸、サリチル酸等が挙げられる。中でも、シュウ酸、マレイン酸等が好ましい。加える有機酸は縮合物(ポリオルガノシロキサン)100質量部に対して0.1乃至5.0質量部である。また加える水は純水、超純水、イオン交換水等を用いることができ、その添加量は膜形成組成物100質量部に対して1乃至20質量部とすることができる。
また加えるアルコールとしては塗布後の加熱により飛散しやすいものが好ましく、例えばメタノール、エタノール、プロパノール、イソプロパノール、ブタノール等が挙げられる。加えるアルコールは膜形成組成物100質量部に対して1乃至20質量部とすることができる。
 本発明の膜形成組成物は、上記の成分の他、必要に応じて有機ポリマー化合物、光酸発生剤及び界面活性剤等を含むことができる。
 有機ポリマー化合物としては特に制限はなく、種々の有機ポリマーを使用することができる。縮重合ポリマー及び付加重合ポリマー等を使用することができる。ポリエステル、ポリスチレン、ポリイミド、アクリルポリマー、メタクリルポリマー、ポリビニルエーテル、フェノールノボラック、ナフトールノボラック、ポリエーテル、ポリアミド、ポリカーボネート等の付加重合ポリマー及び縮重合ポリマーを使用することができる。吸光部位として機能するベンゼン環、ナフタレン環、アントラセン環、トリアジン環、キノリン環、及びキノキサリン環等の芳香環構造を有する有機ポリマーが好ましく使用される。
 そのような有機ポリマー化合物としては、例えば、ベンジルアクリレート、ベンジルメタクリレート、フェニルアクリレート、ナフチルアクリレート、アントリルメタクリレート、アントリルメチルメタクリレート、スチレン、ヒドロキシスチレン、ベンジルビニルエーテル及びN-フェニルマレイミド等の付加重合性モノマーをその構造単位として含む付加重合ポリマーや、フェノールノボラック及びナフトールノボラック等の縮重合ポリマーが挙げられる。
 有機ポリマー化合物として付加重合ポリマーが使用される場合、そのポリマー化合物は単独重合体でもよく共重合体であってもよい。付加重合ポリマーの製造には付加重合性モノマーが使用される。そのような付加重合性モノマーとしてはアクリル酸、メタクリル酸、アクリル酸エステル化合物、メタクリル酸エステル化合物、アクリルアミド化合物、メタクリルアミド化合物、ビニル化合物、スチレン化合物、マレイミド化合物、マレイン酸無水物、アクリロニトリル等が挙げられる。
 アクリル酸エステル化合物としては、メチルアクリレート、エチルアクリレート、ノルマルヘキシルアクリレート、イソプロピルアクリレート、シクロヘキシルアクリレート、ベンジルアクリレート、フェニルアクリレート、アントリルメチルアクリレート、2-ヒドロキシエチルアクリレート、3-クロロ-2-ヒドロキシプロピルアクリレート、2-ヒドロキシプロピルアクリレート、2,2,2-トリフルオロエチルアクリレート、2,2,2-トリクロロエチルアクリレート、2-ブロモエチルアクリレート、4-ヒドロキシブチルアクリレート、2-メトキシエチルアクリレート、テトラヒドロフルフリルアクリレート、2-メチル-2-アダマンチルアクリレート、5-アクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-アクリロキシプロピルトリエトキシシラン及びグリシジルアクリレート等が挙げられる。
 メタクリル酸エステル化合物としては、メチルメタクリレート、エチルメタクリレート、ノルマルヘキシルメタクリレート、イソプロピルメタクリレート、シクロヘキシルメタクリレート、ベンジルメタクリレート、フェニルメタクリレート、アントリルメチルメタクリレート、2-ヒドロキシエチルメタクリレート、2-ヒドロキシプロピルメタクリレート、2,2,2-トリフルオロエチルメタクリレート、2,2,2-トリクロロエチルメタクリレート、2-ブロモエチルメタクリレート、4-ヒドロキシブチルメタクリレート、2-メトキシエチルメタクリレート、テトラヒドロフルフリルメタクリレート、2-メチル-2-アダマンチルメタクリレート、5-メタクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-メタクリロキシプロピルトリエトキシシラン、グリシジルメタクリレート、2-フェニルエチルメタクリレート、ヒドロキシフェニルメタクリレート及びブロモフェニルメタクリレート等が挙げられる。
 アクリルアミド化合物としては、アクリルアミド、N-メチルアクリルアミド、N-エチルアクリルアミド、N-ベンジルアクリルアミド、N-フェニルアクリルアミド、N,N-ジメチルアクリルアミド及びN-アントリルアクリルアミド等が挙げられる。
 メタクリルアミド化合物としては、メタクリルアミド、N-メチルメタクリルアミド、N-エチルメタクリルアミド、N-ベンジルメタクリルアミド、N-フェニルメタクリルアミド、N,N-ジメチルメタクリルアミド及びN-アントリルアクリルアミド等が挙げられる。
 ビニル化合物としては、ビニルアルコール、2-ヒドロキシエチルビニルエーテル、メチルビニルエーテル、エチルビニルエーテル、ベンジルビニルエーテル、ビニル酢酸、ビニルトリメトキシシラン、2-クロロエチルビニルエーテル、2-メトキシエチルビニルエーテル、ビニルナフタレン及びビニルアントラセン等が挙げられる。
 スチレン化合物としては、スチレン、ヒドロキシスチレン、クロロスチレン、ブロモスチレン、メトキシスチレン、シアノスチレン及びアセチルスチレン等が挙げられる。
 マレイミド化合物としては、マレイミド、N-メチルマレイミド、N-フェニルマレイミド、N-シクロヘキシルマレイミド、N-ベンジルマレイミド及びN-ヒドロキシエチルマレイミド等が挙げられる。
 ポリマーとして縮重合ポリマーが使用される場合、そのようなポリマーとしては、例えば、グリコール化合物とジカルボン酸化合物との縮重合ポリマーが挙げられる。グリコール化合物としてはジエチレングリコール、ヘキサメチレングリコール、ブチレングリコール等が挙げられる。ジカルボン酸化合物としては、コハク酸、アジピン酸、テレフタル酸、無水マレイン酸等が挙げられる。また、例えば、ポリピロメリットイミド、ポリ(p-フェニレンテレフタルアミド)、ポリブチレンテレフタレート、ポリエチレンテレフタレート等のポリエステル、ポリアミド、ポリイミドが挙げられる。
 有機ポリマー化合物にヒドロキシル基が含有されている場合は、このヒドロキシル基はポリオルガノシロキサンと架橋反応を形成することができる。
 有機ポリマー化合物としては、重量平均分子量が、例えば1000乃至1000000であり、または3000乃至300000であり、または5000乃至200000であり、または10000乃至100000であるポリマー化合物を使用することができる。
有機ポリマー化合物は一種のみを使用することができ、または二種以上を組み合わせて使用することができる。
有機ポリマー化合物が使用される場合、その割合としては、縮合物(ポリオルガノシロキサン)100質量部に対して、1乃至200質量部、または5乃至100質量部、または10乃至50質量部、または20乃至30質量部である。
 本発明の膜形成組成物では酸発生剤を含有することができる。
酸発生剤としては、熱酸発生剤や光酸発生剤が挙げられる。
本発明の膜形成組成物に含まれる光酸発生剤としては、オニウム塩化合物、スルホンイミド化合物、及びジスルホニルジアゾメタン化合物等が挙げられる。
 オニウム塩化合物としてはジフェニルヨードニウムヘキサフルオロホスフエート、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロノルマルブタンスルホネート、ジフェニルヨードニウムパーフルオロノルマルオクタンスルホネート、ジフェニルヨードニウムカンファースルホネート、ビス(4-tert-ブチルフェニル)ヨードニウムカンファースルホネート及びビス(4-tert-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート等のヨードニウム塩化合物、及びトリフェニルスルホニウムヘキサフルオロアンチモネート、トリフェニルスルホニウムノナフルオロノルマルブタンスルホネート、トリフェニルスルホニウムカンファースルホネート及びトリフェニルスルホニウムトリフルオロメタンスルホネート等のスルホニウム塩化合物等が挙げられる。
 スルホンイミド化合物としては、例えばN-(トリフルオロメタンスルホニルオキシ)スクシンイミド、N-(ノナフルオロノルマルブタンスルホニルオキシ)スクシンイミド、N-(カンファースルホニルオキシ)スクシンイミド及びN-(トリフルオロメタンスルホニルオキシ)ナフタルイミド等が挙げられる。
 ジスルホニルジアゾメタン化合物としては、例えば、ビス(トリフルオロメチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(p-トルエンスルホニル)ジアゾメタン、ビス(2,4-ジメチルベンゼンスルホニル)ジアゾメタン、及びメチルスルホニル-p-トルエンスルホニルジアゾメタン等が挙げられる。
 光酸発生剤は一種のみを使用することができ、または二種以上を組み合わせて使用することができる。
 光酸発生剤が使用される場合、その割合としては、縮合物(ポリオルガノシロキサン)100質量部に対して、0.01乃至5質量部、または0.1乃至3質量部、または0.5乃至1質量部である。
 界面活性剤は、本発明の膜形成組成物をリソグラフィー用レジスト下層膜形成組成物として基板に塗布した際に、ピンホール及びストレーション等の発生を抑制するのに有効である。
本発明の膜形成組成物に含まれる界面活性剤としては、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフエノールエーテル、ポリオキシエチレンノニルフエノールエーテル等のポリオキシエチレンアルキルアリルエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロツクコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤、商品名エフトップEF301、EF303、EF352((株)トーケムプロダクツ製)、商品名メガファックF171、F173、R-08、R-30、R-30N、R-40LM(DIC(株)製)、フロラードFC430、FC431(住友スリーエム(株)製)、商品名アサヒガードAG710,サーフロンS-382、SC101、SC102、SC103、SC104、SC105、SC106(旭硝子(株)製)等のフッ素系界面活性剤、及びオルガノシロキサンポリマ-KP341(信越化学工業(株)製)等を挙げることができる。これらの界面活性剤は単独で使用してもよいし、また二種以上の組み合わせで使用することもできる。界面活性剤が使用される場合、その割合としては、縮合物(ポリオルガノシロキサン)100質量部に対して0.0001乃至5質量部、または0.001乃至1質量部、または0.01乃至1質量部である。
 また、本発明の膜形成組成物には、レオロジー調整剤及び接着補助剤等を添加することができる。レオロジー調整剤は、膜形成組成物の流動性を向上させるのに有効である。接着補助剤は、半導体基板またはレジストと下層膜の密着性を向上させるのに有効である。
 本発明の膜形成組成物に使用される溶剤としては、前記の固形分を溶解できる溶剤であれば、特に制限なく使用することができる。そのような溶剤としては、例えば、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコール、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、メチルイソブチルカルビノール、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、プロピレングリコールモノブチルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2-ヒドロキシプロピオン酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、エトキシ酢酸エチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-3-メチルブタン酸メチル、3-メトキシプロピオン酸メチル、3-メトキシプロピオン酸エチル、3-エトキシプロピオン酸エチル、3-エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテルプロピレングリコールモノメチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、プロピレングリコールジプロピルエーテル、プロピレングリコールジブチルエーテル、乳酸エチル、乳酸プロピル、乳酸イソプロピル、乳酸ブチル、乳酸イソブチル、ギ酸メチル、ギ酸エチル、ギ酸プロピル、ギ酸イソプロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸アミル、ギ酸イソアミル、酢酸メチル、酢酸エチル、酢酸アミル、酢酸イソアミル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、3-メトキシ-2-メチルプロピオン酸メチル、2-ヒドロキシ-3-メチル酪酸メチル、メトキシ酢酸エチル、エトキシ酢酸エチル、3-メトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、3-メトキシプロピオン酸エチル、3-メトキシブチルアセテート、3-メトキシプロピルアセテート、3-メチル-3-メトキシブチルアセテート、3-メチル-3-メトキシブチルプロピオネート、3-メチル-3-メトキシブチルブチレート、アセト酢酸メチル、トルエン、キシレン、メチルエチルケトン、メチルプロピルケトン、メチルブチルケトン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、シクロヘキサノン、N、N-ジメチルホルムアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルピロリドン、4-メチル-2-ペンタノール、及びγ-ブチロラクトン等を挙げることができる。これらの溶剤は単独で、または二種以上の組み合わせで使用することができる。
 本発明では膜形成組成物を、リソグラフィー工程に使用されるレジスト下層膜形成組成物として用いることができる。
 半導体装置の製造に使用される基板(例えば、シリコンウエハ基板、シリコン/二酸化シリコン被覆基板、シリコンナイトライド基板、ガラス基板、ITO基板、ポリイミド基板、及び低誘電率材料(low-k材料)被覆基板等)の上に、スピナー、コーター等の適当な塗布方法により本発明の膜形成組成物からなるレジスト下層膜形成組成物が塗布され、その後、焼成することによりレジスト下層膜が形成される。焼成する条件としては、焼成温度80℃乃至250℃、焼成時間0.3乃至60分間の中から適宜、選択される。好ましくは、焼成温度150℃乃至250℃、焼成時間0.5乃至2分間である。ここで、形成される本発明のレジスト下層膜の膜厚としては、例えば、10乃至1000nmであり、または20乃至500nmであり、または50乃至300nmであり、または100乃至200nmである。
 次いでそのレジスト下層膜の上に、例えばフォトレジストの層が形成される。フォトレジストの層の形成は、周知の方法、すなわち、フォトレジスト組成物溶液の下層膜上への塗布及び焼成によって行なうことができる。フォトレジストの膜厚としては例えば50乃至10000nmであり、または100乃至2000nmであり、または200乃至1000nmである。
 本発明では基板上に有機下層膜を成膜した後、この上に本発明のレジスト下層膜を成膜し、更にその上にフォトレジストを被覆することができる。これによりフォトレジストのパターン幅が狭くなり、パターン倒れを防ぐ為にフォトレジストを薄く被覆した場合でも、適切なエッチングガスを選択することにより基板の加工が可能になる。例えば、フォトレジストに対して十分に早いエッチング速度となるフッ素系ガスをエッチングガスとして本発明のレジスト下層膜に加工が可能であり、また本発明のレジスト下層膜に対して十分に早いエッチング速度となる酸素系ガスをエッチングガスとして有機下層膜の加工が可能であり、更に有機下層膜に対して十分に早いエッチング速度となるフッ素系ガスをエッチングガスとして基板の加工を行うことができる。
 本発明のレジスト下層膜の上に形成されるフォトレジストとしては露光に使用される光に感光するものであれば特に限定はない。ネガ型フォトレジスト及びポジ型フォトレジストのいずれも使用できる。ノボラック樹脂と1,2-ナフトキノンジアジドスルホン酸エステルとからなるポジ型フォトレジスト、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと光酸発生剤からなる化学増幅型フォトレジスト、酸により分解してフォトレジストのアルカリ溶解速度を上昇させる低分子化合物とアルカリ可溶性バインダーと光酸発生剤とからなる化学増幅型フォトレジスト、及び酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと酸により分解してフォトレジストのアルカリ溶解速度を上昇させる低分子化合物と光酸発生剤からなる化学増幅型フォトレジストなどがある。例えば、シプレー社製商品名APEX-E、住友化学工業(株)製商品名PAR710、及び信越化学工業(株)製商品名SEPR430等が挙げられる。また、例えば、Proc.SPIE,Vol.3999,330-334(2000)、Proc.SPIE,Vol.3999,357-364(2000)、やProc.SPIE,Vol.3999,365-374(2000)に記載されているような、含フッ素原子ポリマー系フォトレジストを挙げることができる。
 次に、所定のマスクを通して露光が行なわれる。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)及びF2エキシマレーザー(波長157nm)等を使用することができる。露光後、必要に応じて露光後加熱(postexposurebake)を行なうこともできる。露光後加熱は、加熱温度70℃乃至150℃、加熱時間0.3乃至10分間から適宜、選択された条件で行われる。
 また、本発明ではレジストとしてフォトレジストに変えて電子線リソグラフィー用レジスト、又はEUVリソグラフィー用レジストを用いることができる。電子線レジストとしてはネガ型、ポジ型いずれも使用できる。酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、電子線によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト、電子線によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジストなどがある。これらの電子線レジストを用いた場合も照射源を電子線としてフォトレジストを用いた場合と同様にレジストパターンを形成することができる。
また、EUVレジストとしてはメタクリレート樹脂系レジストを用いることができる。
 次いで、現像液(例えばアルカリ現像液)によって現像が行なわれる。これにより、例えばポジ型フォトレジストが使用された場合は、露光された部分のフォトレジストが除去され、フォトレジストのパターンが形成される。
現像液としては、水酸化カリウム、水酸化ナトリウムなどのアルカリ金属水酸化物の水溶液、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム、コリンなどの水酸化四級アンモニウムの水溶液、エタノールアミン、プロピルアミン、エチレンジアミンなどのアミン水溶液等のアルカリ性水溶液を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5乃至50℃、時間10乃至600秒から適宜選択される。
また、本発明では現像液として有機溶剤を用いることができる。露光後に現像液(溶剤)によって現像が行なわれる。これにより、例えばポジ型フォトレジストが使用された場合は、露光されない部分のフォトレジストが除去され、フォトレジストのパターンが形成される。
 現像液としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、酢酸イソアミル、メトキシ酢酸エチル、エトキシ酢酸エチル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、エチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート、ジエチレングリコールモノプロピルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、2-メトキシブチルアセテート、3-メトキシブチルアセテート、4-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、3-エチル-3-メトキシブチルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、2-エトキシブチルアセテート、4-エトキシブチルアセテート、4-プロポキシブチルアセテート、2-メトキシペンチルアセテート、3-メトキシペンチルアセテート、4-メトキシペンチルアセテート、2-メチル-3-メトキシペンチルアセテート、3-メチル-3-メトキシペンチルアセテート、3-メチル-4-メトキシペンチルアセテート、4-メチル-4-メトキシペンチルアセテート、プロピレングリコールジアセテート、蟻酸メチル、蟻酸エチル、蟻酸ブチル、蟻酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、炭酸エチル、炭酸プロピル、炭酸ブチル、ピルビン酸メチル、ピルビン酸エチル、ピルビン酸プロピル、ピルビン酸ブチル、アセト酢酸メチル、アセト酢酸エチル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、2-ヒドロキシプロピオン酸メチル、2-ヒドロキシプロピオン酸エチル、メチル-3-メトキシプロピオネート、エチル-3-メトキシプロピオネート、エチル-3-エトキシプロピオネート、プロピル-3-メトキシプロピオネート等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5乃至50℃、時間10乃至600秒から適宜選択される。
 そして、このようにして形成されたフォトレジスト(上層)のパターンを保護膜として本発明のレジスト下層膜(中間層)の除去が行われ、次いでパターン化されたフォトレジスト及び本発明のレジスト下層膜(中間層)からなる膜を保護膜として、有機下層膜(下層)の除去が行われる。最後に、パターン化された本発明のレジスト下層膜(中間層)及び有機下層膜(下層)を保護膜として、半導体基板の加工が行なわれる。
 まず、フォトレジストが除去された部分の本発明のレジスト下層膜(中間層)をドライエッチングによって取り除き、半導体基板を露出させる。本発明のレジスト下層膜のドライエッチングにはテトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素及び三フッ化塩素、塩素、トリクロロボラン及びジクロロボラン等のガスを使用することができる。レジスト下層膜のドライエッチングにはハロゲン系ガスを使用することが好ましい。ハロゲン系ガスによるドライエッチングでは、基本的に有機物質からなるフォトレジストは除去されにくい。それに対し、シリコン原子を多く含む本発明のレジスト下層膜はハロゲン系ガスによって速やかに除去される。そのため、レジスト下層膜のドライエッチングに伴うフォトレジストの膜厚の減少を抑えることができる。そして、その結果、フォトレジストを薄膜で使用することが可能となる。レジスト下層膜のドライエッチングはフッ素系ガスによることが好ましく、フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。
 その後、パターン化されたフォトレジスト及び本発明のレジスト下層膜からなる膜を保護膜として有機下層膜の除去が行われる。有機下層膜(下層)は酸素系ガスによるドライエッチングによって行なわれることが好ましい。シリコン原子を多く含む本発明のレジスト下層膜は、酸素系ガスによるドライエッチングでは除去されにくいからである。
 最後に、半導体基板の加工が行なわれる。半導体基板の加工はフッ素系ガスによるドライエッチングによって行なわれることが好ましい。
 フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。
 また、本発明のレジスト下層膜の上層には、フォトレジストの形成前に有機系の反射防止膜を形成することができる。そこで使用される反射防止膜組成物としては特に制限はなく、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができ、また、慣用されている方法、例えば、スピナー、コーターによる塗布及び焼成によって反射防止膜の形成を行なうことができる。
 また、本発明の膜形成組成物からなるレジスト下層膜形成組成物が塗布される基板は、その表面にCVD法などで形成された有機系または無機系の反射防止膜を有するものであってもよく、その上に本発明のレジスト下層膜を形成することもできる。
 本発明のレジスト下層膜形成組成物より形成されるレジスト下層膜は、また、リソグラフィープロセスにおいて使用される光の波長によっては、その光に対する吸収を有することがある。そして、そのような場合には、基板からの反射光を防止する効果を有する反射防止膜として機能することができる。さらに、本発明のレジスト下層膜は、基板とフォトレジストとの相互作用の防止するための層、フォトレジストに用いられる材料又はフォトレジストへの露光時に生成する物質の基板への悪作用を防ぐ機能とを有する層、加熱焼成時に基板から生成する物質の上層フォトレジストへの拡散を防ぐ機能を有する層、及び半導体基板誘電体層によるフォトレジスト層のポイズニング効果を減少させるためのバリア層等として使用することも可能である。
 また、本発明のレジスト下層膜形成組成物より形成されるレジスト下層膜は、デュアルダマシンプロセスで用いられるビアホールが形成された基板に適用され、ホールを隙間なく充填することができる穴埋め材(埋め込み材)として使用できる。また、凹凸のある半導体基板の表面を平坦化するための平坦化材として使用することもできる。
また、EUVレジストの下層膜としてはハードマスクとしての機能以外に以下の目的にも使用できる。EUVレジストとインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えば上述のUVやDUV(ArF光、KrF光)の基板又は界面からの反射を防止することができるEUVレジストの下層反射防止膜として、上記レジスト下層膜形成組成物を用いることができる。EUVレジストの下層で効率的に反射を防止することができる。EUVレジスト下層膜として用いた場合は、プロセスはフォトレジスト用下層膜と同様に行うことができる。
 また、本発明は式(1’)
Figure JPOXMLDOC01-appb-C000034





で示される加水分解性シランに関する。
式(1’)中、Rは式(2’)
Figure JPOXMLDOC01-appb-C000035





で示される有機基であり且つSi-C結合又はSi-O結合によりケイ素原子と結合しているものである。Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、もしくはシアノ基を有する有機基、又はこれらの組み合わせであり且つSi-C結合によりケイ素原子と結合しているものである。Rはアルコキシ基、アシルオキシ基、又はハロゲン基を示す。aは1の整数を示し、bは0乃至2の整数を示し、a+bは1乃至3の整数を示す。
式(2’)中、Rは水素原子、炭素原子数1乃至10のアルキル基、又はアシル基を示し、Rは水素原子、炭素原子数1乃至10のアルキル基、又は炭素原子数1乃至10のアルコキシ基を有する炭素原子数1乃至10のアルキル基を示し、Rは炭素原子数1乃至10のアルキル基を示し、n1は0乃至10の整数を示し、n2は0又は1の整数を示し、n3、n4及びn5は整数であって、n3は1≦n3≦5、n4は0≦n4≦4、n5は0≦n5≦4を示す。そしてk1はn1が1乃至10を示すときの、ケイ素原子との結合端を示し、k2はn1が0を示し及びn2が1を示すときの、ケイ素原子との結合端を示し、k3はn1及びn2が0を示すときの、ケイ素原子との結合端を示す。
k1部分でケイ素原子と結合するものを選択することができる。
 式(1’) で示される加水分解性シラン化合物としては、例えば上記式(1-1)、式(1-2)、式(1-3)、式(1-5)、式(1-6)、式(1-7)、式(1-8)、式(1-10)で示される化合物が例示される。また、4-(トリメトキシシリル)ベンジルアセテート、4-(トリエトキシシリル)ベンジルアセテート等が挙げられる。
 式(1’)においてn2が1の整数である化合物を示すことができる。
(化合物1の合成)
Figure JPOXMLDOC01-appb-C000036







 マグネチックスターラーを備えた1000mlナスフラスコにヒドロキシベンジルアルコール20.0g、エタノール400.0gを加え、溶解させた。そこに濃硫酸を0.32g加え、加熱して20時間還流させた。室温に戻し、水酸化ナトリウムで中和した後、エバポレーションにてエタノールを除去した。300mlの三口フラスコに移し、そこに水酸化ナトリウム6.44g、トルエン40g、N-メチルピロリドン(以下、NMPと略することもある。)40gを加え、オイルバス中130℃にて水、トルエンを除去しながら、4時間反応させた。そこにクロロメチルトリエトキシシラン34.28gを滴下し、130℃にて4時間加熱撹拌した。得られた溶液を室温に戻し、分液ロートに移しかえてトルエン120g、水90gを加えて有機層を洗浄した。洗浄は3回繰り返し行った。次に、有機層に硫酸マグネシウムを加え乾燥させた後、ろ過し、溶媒をエバポレーションにて除去して粗物を得た。その後、減圧蒸留にて精製し目的物である化合物1を15g得た。
H-NMR(500MHz、DMSO-d):1.13ppm(t、3H)、1.19ppm(t、9H)、3.43ppm(q、2H)、3.68ppm(s、2H)、3.86ppm(q、6H)、4.36ppm(s、2H)、6.95ppm(d、2H)、7.22ppm(d、2H)
(化合物2の合成)
Figure JPOXMLDOC01-appb-C000037






 マグネチックスターラーを備えた1000mlナスフラスコに2,6-ビス(ヒドロキシメチル)-p-クレゾール 30.0g、メタノール600.0gを加え、溶解させた。そこに濃硫酸を0.35g加え、加熱して20時間還流させた。室温に戻し、水酸化ナトリウムで中和した後、エバポレーションにてメタノールを除去した。300mlの三口フラスコに移し、そこに水酸化ナトリウム7.13g、トルエン60g、NMP60gを加え、オイルバス中130℃にて水、トルエンを除去しながら、4時間反応させた。そこに3-クロロプロピルトリメトキシシラン35.54gを滴下し、130℃にて4時間加熱撹拌した。得られた溶液を室温に戻し、分液ロートに移しかえてトルエン120g、水90gを加えて有機層を洗浄した。洗浄は3回繰り返し行った。次に、有機層に硫酸マグネシウムを加え乾燥させた後、ろ過し、溶媒をエバポレーションにて除去して粗物を得た。その後、減圧蒸留にて精製し目的物である化合物2を5g得た。
H-NMR(500MHz、DMSO-d):0.70ppm(t、2H)、1.74ppm(quin、2H)、2.22ppm(s、3H)、3.27ppm(s、6H)、3.47ppm(s、9H)、3.67ppm(t、2H)、4.35ppm(s、4H)、7.07ppm(s、2H)
(化合物3の合成)
Figure JPOXMLDOC01-appb-C000038






 マグネチックスターラーを備えた1000mlナスフラスコにバニリルアルコール20.0g、メタノール400.0gを加え、溶解させた。そこに濃硫酸を0.25g加え、加熱して1時間還流させた。室温に戻し、水酸化ナトリウムで中和した後、エバポレーションにてメタノールを除去した。300mlの三口フラスコに移し、そこに水酸化ナトリウム5.19g、トルエン40g、NMP40gを加え、オイルバス中130℃にて水、トルエンを除去しながら、4時間反応させた。そこにクロロメチルトリエトキシシラン27.60gを滴下し、130℃にて4時間加熱撹拌した。得られた溶液を室温に戻し、分液ロートに移しかえてトルエン120g、水90gを加えて有機層を洗浄した。洗浄は3回繰り返し行った。次に、有機層に硫酸マグネシウムを加え乾燥させた後、ろ過し、溶媒をエバポレーションにて除去して粗物を得た。その後、減圧蒸留にて精製し目的物である化合物3を15g得た。
H-NMR(500MHz、DMSO-d):1.20ppm(t、9H)、3.26ppm(s、3H)、3.68ppm(s、2H)、3.75ppm(s、3H)、3.87ppm(q、6H)、4.32ppm(s、2H)、6.83ppm(d、1H)、6.89ppm(s、1H)、7.00ppm(d、2H)
(化合物4の合成)
Figure JPOXMLDOC01-appb-C000039






マグネチックスターラーを備えた300mlの三口フラスコに4-クロロメチルフェニルトリメトキシシラン(Gelest社製)40g、NMP80gを加え、オイルバス中130℃に加熱撹拌させた。そこにナトリウムメトキシド8.76g加え、130℃にて4時間加熱撹拌した。得られた溶液を室温に戻し、分液ロートに移しかえてトルエン200g、水100gを加えて有機層を洗浄した。洗浄は3回繰り返し行った。次に、有機層に硫酸マグネシウムを加え乾燥させた後、ろ過し、溶媒をエバポレーションにて除去して粗物を得た。その後、減圧蒸留にて精製し目的物である化合物4を5g得た。
H-NMR(500MHz、DMSO-d):3.30ppm(s、3H)、3.53ppm(s、9H)、4.43ppm(s、2H)、7.37ppm(d、2H)、7.56ppm(d、2H)
(化合物5の合成)
Figure JPOXMLDOC01-appb-C000040







 1000mlナスフラスコに、2,6-ビス(ヒドロキシメチル)-p-クレゾール20.00g(0.119mol)、メタノール400g、濃硫酸0.23g(0.002mol)を入れ、還流状態で20時間加熱した。室温に戻し、水酸化ナトリウムで中和した後、エバポレーションにてメタノールを除去した。300mlの三口フラスコに移し、そこに、トルエン40g、N-メチルピロリドン40g、水酸化ナトリウム4.99g(0.125mol)を加え、オイルバス中130℃にて水、トルエンを除去しながら、4時間反応させた。そこにクロロメチルトリエトキシシラン25.30g(0.119mol)を滴下し、120℃にて4時間反応させた。反応液は、トルエンおよびアセトン、水にて分液を行った後、エバポレーターにて有機溶媒を除去することで、粗物を得た。粗物を、減圧蒸留することで、目的物である化合物を得た。
H-NMR(500MHz、DMSO-d):1.23ppm(t、9H)、2.26ppm(s、3H)、3.31ppm(s、6H)、3.59ppm(s、2H)、3.89ppm(q、6H)、4.40ppm(s、4H)、7.10ppm(s、2H)
(化合物6の合成/4-(トリメトキシシリル)ベンジルアセテート)
Figure JPOXMLDOC01-appb-C000041







 マグネチックスターラーを備えた300ml三口フラスコに酢酸ナトリウム30.0g、NMP150.0gを加え、オイルバス中130℃に加熱した。そこに(p-クロロメチル)フェニルトリメトキシシラン90.25gを滴下し、4時間加熱撹拌した。得られた溶液を室温に戻し、分液ロートに移しかえてトルエン300g、水90gを加えて有機層を洗浄した。洗浄は3回繰り返し行った。次に、有機層に硫酸マグネシウムを加え乾燥させた後、ろ過し、溶媒をエバポレーションにて除去して粗物を得た。その後、減圧蒸留にて精製し目的物である4-(トリメトキシシリル)ベンジルアセテートを60g得た。
H-NMR(500MHz、DMSO-d):2.08ppm(s、3H)、3.54ppm(s、9H)、5.10ppm(s、2H)、7.42ppm(d、2H)、7.58ppm(d、2H)
(化合物7の合成)
Figure JPOXMLDOC01-appb-C000042






マグネチックスターラーを備えた300mlナスフラスコに4-ブロモ-3,5-ジメチルフェノール(4-BP)42.0g、テトラヒドロフラン(THF)(脱水)94.6g、ピリジニウムp-トルエンスルホン酸(PPTS)1.57gを加え、溶解させた。そこにエチルビニルエーテル(EV)を22.59g加え、室温にて20時間反応させた。トリエチルアミン(TEA)0.63gで中和し、反応溶液A(4-(1-エトキシエトキシ)-2,6-ジメチルブロモベンゼン(4-EOEO-2,6-DMePhBr)を含む)を作製した。スターラーチップを備えた500mlの三口フラスコに乾燥させたマグネシウム粉末6.09g、ヨウ素0.53g、テトラヒドロフラン (脱水)189.2gを加え、オイルバス中60℃にてヨウ素の色がなくなるまで加熱した後、室温に戻し、反応溶液Aを室温にて滴下して2時間反応させ、反応溶液Bを得た。スターラーチップを備えた1000mlの三口フラスコにテトラメトキシシラン(TMOS)95.39g、テトラヒドロフラン(脱水)189.2gを加え、攪拌した。室温にて反応溶液Bを滴下し、2時間反応させた。得られた溶液をエバポレーションにて濃縮後、500mlのヘプタン溶液を加え攪拌し、ろ過した。得られたろ液を濃縮後、減圧蒸留にて精製し目的物である(4-(1-エトキシエトキシ)-2,6-ジメチルフェニル)トリメトキシシラン(4-EOEO-2,6-DMePhTMOS)を35g得た。
H-NMR(500MHz、DMSO-d):1.06ppm(t、3H)、1.34ppm(d、3H)、2.36ppm(s、6H)、3.47ppm(s、9H)、3.53ppm(multi、2H)、5.46ppm(q、1H)、6.61(s、2H)
(合成例1)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.251g、水0.777g、イソプロパノール7.014g、テトラヒドロフラン3.846gを加え撹拌した。そこに化合物3を5g(全シラン中で50モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン4.157g(全シラン中で50モル%)を室温で加え、40℃に加熱して6時間反応させた。室温に戻し、酢酸エチル54.942g、水27.471gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー1として、式(3-1)に相当し、分子量はMw7200であった。
(合成例2)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.263g、水0.815g、イソプロパノール7.169g、テトラヒドロフラン3.931gを加え撹拌した。そこに化合物1を5g(全シラン中で50モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン4.360g(全シラン中で50モル%)を室温で加え、40℃に加熱して6時間反応させた。室温に戻し、酢酸エチル56.157g、水28.08gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー2として、式(3-2)に相当し、分子量はMw12800であった。
(合成例3)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.178g、水0.553g、イソプロパノール4.18g、テトラヒドロフラン2.290gを加え撹拌した。そこに化合物4を2.5g(全シラン中で50モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン2.955g(全シラン中で50モル%)を室温で加え、40℃に加熱して6時間反応させた。室温に戻し、酢酸エチル32.73g、水16.36gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー3として、式(3-3)に相当し、分子量はMw7300であった。
(合成例4)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.232g、水0.719g、イソプロパノール6.775g、テトラヒドロフラン7.315gを加え撹拌した。そこに化合物5を5g(全シラン中で50モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン3.844g(全シラン中で50モル%)を室温で加え、40℃に加熱して6時間反応させた。室温に戻し、酢酸エチル53.06g、水26.53gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー4として、式(3-4)に相当し、分子量はMw2200であった。
(合成例5)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.287g、水0.888g、イソプロパノール8.001g、テトラヒドロフラン4.387gを加え撹拌した。そこに化合物3を4g(全シラン中で35モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン4.751g(全シラン中で50モル%)、トリメトキシ(3-(フェナントレン-9-イル)プロピル)シラン1.695g(全シラン中で15モル%)を室温で加え、40℃に加熱して6時間反応させた。室温に戻し、酢酸エチル62.67g、水31.34gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー5として、式(3-5)に相当し、分子量はMw5200であった。
(合成例6)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.287g、水0.888g、イソプロパノール7.959g、テトラヒドロフラン4.364gを加え撹拌した。そこに化合物3を4g(全シラン中で35モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン4.751g(全シラン中で50モル%)、カルバゾールプロピルトリメトキシシラン1.640g(全シラン中で15モル%)を室温で加え、40℃に加熱して6時間反応させた。室温に戻し、酢酸エチル62.34g、水31.17gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテル25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー6として、式(3-6)に相当し、分子量はMw5000であった。
(合成例7)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.287g、水0.888g、イソプロパノール7.910g、テトラヒドロフラン7.951gを加え撹拌した。そこに化合物3を4g(全シラン中で35モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン4.751g(全シラン中で50モル%)、(4-(メチルチオ)フェノキシ)メチルトリエトキシシラン1.575g(全シラン中で15モル%)を室温で加え、40℃に加熱して6時間反応させた。室温に戻し、酢酸エチル61.96g、水30.98gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー7として、式(3-7)に相当し、分子量はMw5600であった。
(合成例8)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.287g、水0.888g、イソプロパノール7.750g、テトラヒドロフラン4.318gを加え撹拌した。そこに化合物3を4g(全シラン中で35モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン4.751g(全シラン中で50モル%)、8-(3-(トリメトキシシリル)プロポキシ)キノリン1.530g(全シラン中で15モル%)を室温で加え、40℃に加熱して6時間反応させた。室温に戻し、酢酸エチル61.96g、水30.98gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテル25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー8として、式(3-8)に相当し、分子量はMw4300であった。
(合成例9)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.287g、水0.888g、イソプロパノール8.196g、テトラヒドロフラン4.494gを加え撹拌した。そこに化合物3を4g(全シラン中で35モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン4.751g(全シラン中で50モル%)、4-メトキシ-N-(3-(トリエトキシシリル)プロピル)ベンゼンスルホンアミド1.949g(全シラン中で15モル%)を室温で加え、40℃に加熱して6時間反応させた。室温に戻し、酢酸エチル64.20g、水32.10gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテル25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー9として、式(3-9)に相当し、分子量はMw4800であった。
(合成例10)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液8.88g、イソプロパノール30.72g、テトラヒドロフラン30.72gを加え撹拌した。そこに化合物3を3.62g(全シラン中で10モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン3.01g(全シラン中で10モル%)、メチルトリエトキシシラン15.00g(全シラン中で80モル%)を室温で加え、40℃に加熱して4時間反応させた。室温に戻し、酢酸エチル129.81gを加え、0.2N塩酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー10として、式(3-10)に相当し、分子量はMw1500であった。
(合成例11)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液1.541g、イソプロパノール7.99g、テトラヒドロフラン7.99gを加え撹拌した。そこに化合物3を0.63g(全シラン中で10モル%)、(3-ビフェノキシ)メチルトリエトキシシラン5.00g(全シラン中で90モル%)を室温で加え、40℃に加熱して4時間反応させた。室温に戻し、酢酸エチル33.77gを加え、0.2N塩酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー11として、式(3-11)に相当し、分子量はMw3500であった。
(合成例12)
100mlの反応フラスコに35重量%のテトラエチルアンモニウム水溶液0.34g、超純水1.94g、イソプロパノール4.13g、テトラヒドロフラン4.13gを加え撹拌した。そこに化合物3を6.89g、アセトキシメチルトリエトキシシラン2.36g、(4-(1-エトキシエトキシ)-2,6-ジメチルフェニル)トリメトキシシラン(化合物7)3.14gを室温で加え、40度に加熱して4時間反応させた。室温に戻し、酢酸エチル62.00gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート30gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー12として、式(3-12)に相当し、分子量はMw4000であった。
(合成例13)
100mlの反応フラスコに35重量%のテトラエチルアンモニウム水溶液0.419g、超純水2.42g、イソプロパノール5.45g、テトラヒドロフラン5.45gを加え撹拌した。そこに化合物3を8.57g、トリエトキシ(3-((テトラヒドロ―2H-ピラン―2イル)オキシ)プロピル)シラン1.53g、(4-(1-エトキシエトキシ)-2,6-ジメチルフェニル)トリメトキシシラン(化合物7)6.26gを室温で加え、40度に加熱して4時間反応させた。室温に戻し、酢酸エチル82.00gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート30gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー13として式(3-13)に相当し、分子量はMw2800であった。
(合成例14)
100mlの反応フラスコに35重量%のテトラエチルアンモニウム水溶液0.34g、超純水1.94g、イソプロパノール4.13g、テトラヒドロフラン4.13gを加え撹拌した。そこに化合物3を6.89g、3-グリシドキシプロピルトリメトキシシラン2.36g、(4-(1-エトキシエトキシ)-2,6-ジメチルフェニル)トリメトキシシラン(化合物7)3.14gを室温で加え、40度に加熱して4時間反応させた。室温に戻し、酢酸エチル62.00gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート30gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー14として式(3-14)に相当し、分子量はMw3800であった。
(比較合成例1)
100mlの反応フラスコに35質量%のテトラエチルアンモニウム水溶液0.436g、水1.351g、イソプロパノール9.362g、テトラヒドロフラン5.133gを加え撹拌した。そこにフェニルトリメトキシシランを5.000g(全シラン中で50モル%)、(4-(1-エトキシエトキシ)フェニル)トリメトキシシラン7.222g(全シラン中で50モル%)を室温で加え、40℃に加熱して4時間反応させた。室温に戻し、酢酸エチル73.33gを加え、0.1N酢酸水溶液にて中和した。分液ロートに移し、水で3回洗浄した後、プロピレングリコールモノメチルエーテルアセテート25gを添加し、有機層を濃縮して溶媒置換を行った。得られたポリマーはポリマー12として、式(4-1)に相当し、分子量はMw5200であった。
Figure JPOXMLDOC01-appb-C000043






(硬化性樹脂組成物の調製)
 上記合成例1乃至14及び比較合成例1で得られたケイ素含有ポリマー、酸、硬化触媒、添加剤、溶媒を表1に示す割合になるように混合し、0.02μmのフッ素樹脂製のフィルターで濾過することによって、膜形成組成物である硬化性樹脂組成物の溶液をそれぞれ調製した。表1中のポリマーの割合はポリマー溶液の質量ではなく、ポリマー自体の質量を示した。
表1中でトリフェニルスルホニウムトリフルオロメタンスルホネートはTPS105、p-トルエンスルホン酸ピリジニウム塩はpPTS、プロピレングリコールモノメチルエーテルアセテートはPGMEA、プロピレングリコールモノメチルエーテルはPGME、DIC社製非イオン性界面活性剤をR30N(製品名)とした。水は超純水を用いた。各添加量は質量部で示した。
Figure JPOXMLDOC01-appb-T000044






(光学定数測定)
実施例1乃至15、比較例1乃至2で調製した硬化性樹脂組成物をスピナーを用い、シリコンウェハ上にそれぞれ塗布した。ホットプレート上で215℃1分間加熱し、硬化性樹脂組成膜(膜厚0.05μm)を形成した。そして、硬化性樹脂組成膜を分光エリプソメーター(J.A.Woollam社製、VUV-VASEVU-302)を用い、屈折率(n値)及び光学吸光係数(k値、減衰係数とも呼ぶ)を測定した。
(硬化性評価)
実施例1乃至15、比較例1乃至2で調製した硬化性樹脂組成物をスピナーを用い、シリコンウェハ上にそれぞれ塗布した。ホットプレート上で215℃1分間加熱し、硬化性樹脂組成膜(膜厚0.05μm)を形成した。その後、プロピレングリコールモノメチルエーテルアセテートに浸し、浸漬前後の膜厚測定を行い、5nm以上変化したものを×とした。
(リソグラフィー評価)
 ダイセル化学工業(株)製、商品名:EHPE3150(40.0g)と9-アントラセンカルボン酸(20.3g)と安息香酸(13.7g)をプロピレングリコールモノメチルエーテル(302.0g)に溶解させた後、ベンジルトリエチルアンモニウム1.5gを加え、24時間還流し反応させた。反応後溶液をイオン交換法を用いて精製し、ポリマー溶液を得た。得られたポリマー(式(5-1)に相当)のGPC分析を行ったところ、標準ポリスチレン換算にて重量平均分子量は4100であった。
得られたポリマー溶液5g(ポリマーの固形分は16質量%)に、テトラメトキシメチルグリコールウリル0.2g、ピリジニウム-p-トルエンスルホナート0.03g、メガファック[登録商標]R-30(DIC(株)(旧大日本インキ化学(株))製、商品名)0.0008g、プロピレングリコールモノメチルエーテル6.4g、プロピレングリコールモノメチルエーテルアセテート4.5gを混合して溶液とした。その後、孔径0.10μmのポリエチレン製ミクロフィルターを用いて濾過し、更に、孔径0.05μmのポリエチレン製ミクロフィルターを用いて濾過して、多層膜によるリソグラフィープロセスに用いる有機レジスト下層膜形成組成物の溶液を調製した。
Figure JPOXMLDOC01-appb-C000045






有機レジスト下層膜形成組成物をシリコンウエハ上に塗布し、ホットプレート上で240℃で60秒間ベークし、膜厚200nmの有機レジスト下層膜を得た。その上に、実施例1乃至10、実施例13乃至15並びに比較例1で調製された硬化性樹脂組成物をスピナーにより、塗布した。その後、215℃のホットプレート上で1分間ベークし、硬化樹脂膜(膜厚0.08μm)を形成した。この上に、市販のフォトレジスト溶液(東京応化工業(株)製、商品名:TDUR-P3435LP)をスピナーにより塗布し、90℃のホットプレート上で1分間加熱してフォトレジスト膜(膜厚0.25μm)を形成した。次いで、(株)ニコン製NSR-S205Cレンズスキャニング方式ステッパー(波長248nm、NA:0.75、σ:0.85(CONVENTIONAL))を用い、現像後にフォトレジストパターンのライン幅及びそのライン間の幅が0.16μmになるよう設定されたマスクを通して、露光を行った。その後、110℃のホットプレート上で1分間“露光後加熱”を行なった。冷却後、現像液として2.38%のテトラメチルアンモニウムヒドロキシド水溶液を用いて現像した。得られたパターンをSEM評価によりレジストすそ形状を評価した。レジスト形状が矩形のものをストレートとし、パターンが得られない場合や矩形性が損なわれている場合をパターン不良とした。
(穴埋め評価)
 図1に示すように段差基板2上に、スピンコーターを用いて、実施例1乃至15、比較例1乃至2の硬化性樹脂組成物を塗布し、その後215℃のホットプレート上で1分間加熱することにより、膜厚180nmの硬化性樹脂膜1を形成した。前記段差基板は、CVD-TEOSから成る高さ300nm、最少幅が20nmのホールパターンを用いた。次いで、得られた基板を断面SEMにより穴埋め形状(埋め込み性)を観察し、穴埋め特性を評価した。ボイドの発生無く良好な埋め込み性示した場合を良好とした。
Figure JPOXMLDOC01-appb-T000046






Figure JPOXMLDOC01-appb-T000047






 本発明の膜形成組成物は硬化性が良好であり、段差基板への埋め込み性も良好である。また、多層膜を利用する半導体製造のリソグラフィー工程に使用されるレジスト下層膜や、シリコンハードマスクに用いた場合には良好な、露光、現像、エッチング後に良好な形状を示すことができる。
 硬化性や埋め込み性等の良好な効果を有する膜形成組成物、半導体装置のリソグラフィー工程に用いるレジスト下層膜として使用することができる。
1 硬化性樹脂膜
2 段差基板

Claims (9)

  1. シランとして加水分解性シラン、その加水分解物、又はその加水分解縮合物を含み、該加水分解性シランが式(1):
    Figure JPOXMLDOC01-appb-C000001







    〔式(1)中、Rは式(2):
    Figure JPOXMLDOC01-appb-C000002







    (式(2)中、Rは水素原子、炭素原子数1乃至10のアルキル基、又はアシル基を示し、Rは水素原子、炭素原子数1乃至10のアルキル基、又は炭素原子数1乃至10のアルコキシ基を有する炭素原子数1乃至10のアルキル基を示し、Rは炭素原子数1乃至10のアルキル基を示し、n1は0乃至10の整数を示し、n2は0又は1の整数を示し、n3、n4及びn5は整数であって、n3は1≦n3≦5、n4は0≦n4≦4、n5は0≦n5≦4を示す。そしてk1はn1が1乃至10の整数を示すときの、ケイ素原子との結合端を示し、k2はn1が0を示し及びn2が1を示すときの、ケイ素原子との結合端を示し、k3はn1及びn2が0を示すときの、ケイ素原子との結合端を示す。)で示される有機基であり且つSi-C結合又はSi-O結合によりケイ素原子と結合しているものである。Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、もしくはシアノ基を有する有機基、又はこれらの組み合わせであり且つSi-C結合によりケイ素原子と結合しているものである。Rはアルコキシ基、アシルオキシ基、又はハロゲン基を示す。aは1の整数を示し、bは0乃至2の整数を示し、a+bは1乃至3の整数を示す。〕で示される加水分解性シランを含む膜形成組成物。
  2. 該加水分解性シランが、式(1)で示される加水分解性シランとその他の加水分解性シランの組み合わせであり、その他の加水分解性シランが式(3):
    Figure JPOXMLDOC01-appb-C000003







    (式(3)中、Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアルキル基、アルコキシアリール基、アルコキシアルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、スルホンアミド基、もしくはシアノ基を有する有機基、又はこれらの組み合わせで且つSi-C結合によりケイ素原子と結合しているものであり、Rはアルコキシ基、アシルオキシ基、又はハロゲン基を示し、cは0乃至3の整数を示す。)で示される加水分解性シラン、及び式(4):
    Figure JPOXMLDOC01-appb-C000004







    (式(4)中、Rはアルキル基で且つSi-C結合によりケイ素原子と結合しているものであり、R10はアルコキシ基、アシルオキシ基、又はハロゲン基を示し、Yはアルキレン基又はアリーレン基を示し、dは0又は1の整数を示し、eは0又は1の整数である。)で示される加水分解性シランからなる群より選ばれた少なくとも1種の加水分解性シランである請求項1に記載の膜形成組成物。
  3. 請求項1の式(1)で示される加水分解性シランと請求項2の式(3)で示される加水分解性シランの組み合わせからなる加水分解性シランの加水分解縮合物をポリマーとして含む膜形成組成物。
  4. 更に塩を含む請求項1乃至請求項3のいずれか1項に記載の膜形成組成物。
  5. 膜形成組成物が、リソグラフィー工程に使用されるレジスト下層膜形成組成物である請求項1乃至請求項4のいずれか1項に記載の膜形成組成物。
  6. 請求項5に記載のレジスト下層膜形成組成物の硬化物からなる、半導体基板上に形成されたレジスト下層膜。
  7. 請求項5に記載のレジスト下層膜形成組成物を半導体基板上に塗布し、焼成しレジスト下層膜を形成する工程、前記レジスト下層膜の上にレジスト組成物を塗布しレジスト膜を形成する工程、前記レジスト膜を露光する工程、露光後に該レジスト膜を現像しレジストパターンを得る工程、前記レジストパターンによりレジスト下層膜をエッチングする工程、及びパターン化されたレジストとレジスト下層膜により半導体基板を加工する工程を含む半導体装置の製造方法。
  8. 半導体基板上に有機下層膜を形成する工程、その上に請求項5に記載のレジスト下層膜形成組成物を塗布し焼成しレジスト下層膜を形成する工程、前記レジスト下層膜の上にレジスト組成物を塗布しレジスト膜を形成する工程、前記レジスト膜を露光する工程、露光後に該レジスト膜を現像しレジストパターンを得る工程、前記レジストパターンによりレジスト下層膜をエッチングする工程、パターン化されたレジスト下層膜により有機下層膜をエッチングする工程、及びパターン化された有機下層膜により半導体基板を加工する工程を含む半導体装置の製造方法。
  9. 式(1’):
    Figure JPOXMLDOC01-appb-C000005







    〔式(1’)中、Rは式(2’):
    Figure JPOXMLDOC01-appb-C000006







    (式(2’)中、Rは水素原子、炭素原子数1乃至10のアルキル基、又はアシル基を示し、Rは水素原子、炭素原子数1乃至10のアルキル基、又は炭素原子数1乃至10のアルコキシ基を有する炭素原子数1乃至10のアルキル基を示し、Rは炭素原子数1乃至10のアルキル基を示し、n1は0乃至10の整数を示し、n2は0又は1の整数を示し、n3、n4及びn5は整数であって、n3は1≦n3≦5、n4は0≦n4≦4、n5は0≦n5≦4を示す。そしてk1はn1が1乃至10を示すときの、ケイ素原子との結合端を示し、k2はn1が0を示し及びn2が1を示すときの、ケイ素原子との結合端を示し、k3はn1及びn2が0を示すときの、ケイ素原子との結合端を示す。)で示される有機基であり且つSi-C結合又はSi-O結合によりケイ素原子と結合しているものである。Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、もしくはシアノ基を有する有機基、又はこれらの組み合わせであり且つSi-C結合によりケイ素原子と結合しているものである。Rはアルコキシ基、アシルオキシ基、又はハロゲン基を示す。aは1の整数を示し、bは0乃至2の整数を示し、a+bは1乃至3の整数を示す。〕で示される加水分解性シラン。
PCT/JP2015/081476 2014-11-19 2015-11-09 架橋反応性シリコン含有膜形成組成物 WO2016080226A1 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US15/528,037 US10845703B2 (en) 2014-11-19 2015-11-09 Film-forming composition containing silicone having crosslinking reactivity
SG11201704070SA SG11201704070SA (en) 2014-11-19 2015-11-09 Film-forming composition containing silicone having crosslinking reactivity
JP2016560150A JP6788222B2 (ja) 2014-11-19 2015-11-09 架橋反応性シリコン含有膜形成組成物
CN201580059708.7A CN107075302B (zh) 2014-11-19 2015-11-09 含交联反应性硅的膜形成用组合物
KR1020177008835A KR102543831B1 (ko) 2014-11-19 2015-11-09 가교반응성 실리콘함유 막 형성 조성물
EP15860187.2A EP3222688A4 (en) 2014-11-19 2015-11-09 Film-forming composition containing crosslinkable reactive silicone

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-234590 2014-11-19
JP2014234590 2014-11-19

Publications (1)

Publication Number Publication Date
WO2016080226A1 true WO2016080226A1 (ja) 2016-05-26

Family

ID=56013771

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/081476 WO2016080226A1 (ja) 2014-11-19 2015-11-09 架橋反応性シリコン含有膜形成組成物

Country Status (8)

Country Link
US (1) US10845703B2 (ja)
EP (1) EP3222688A4 (ja)
JP (1) JP6788222B2 (ja)
KR (1) KR102543831B1 (ja)
CN (1) CN107075302B (ja)
SG (1) SG11201704070SA (ja)
TW (1) TWI712659B (ja)
WO (1) WO2016080226A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170154766A1 (en) * 2015-11-27 2017-06-01 Shin-Etsu Chemical Co., Ltd. Silicon-containing condensate, composition for forming a silicon-containing resist under layer film, and patterning process
WO2017154545A1 (ja) * 2016-03-10 2017-09-14 Jsr株式会社 レジストプロセス用膜形成材料、パターン形成方法及び重合体
WO2019009413A1 (ja) * 2017-07-06 2019-01-10 日産化学株式会社 アルカリ性現像液可溶性シリコン含有レジスト下層膜形成組成物
JP2019077808A (ja) * 2017-10-25 2019-05-23 ユーエムジー・エービーエス株式会社 重合体、グラフト重合体および熱可塑性樹脂組成物
WO2019124514A1 (ja) * 2017-12-20 2019-06-27 日産化学株式会社 光硬化性シリコン含有被覆膜形成組成物
JP2021522681A (ja) * 2018-04-25 2021-08-30 ヘルムホルツ−ツェントルム ベルリン フュア マテリアリエン ウント エナギー ゲゼルシャフト ミット ベシュレンクテル ハフツングHelmholtz−Zentrum Berlin fuer Materialien und Energie GmbH ペロブスカイト太陽電池のための正孔導電性自己組織化単分子層
WO2022039246A1 (ja) * 2020-08-21 2022-02-24 日産化学株式会社 Euvレジスト下層膜形成組成物

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107077072B (zh) * 2014-11-19 2021-05-25 日产化学工业株式会社 能够湿式除去的含有硅的抗蚀剂下层膜形成用组合物
US11561472B2 (en) 2015-06-11 2023-01-24 Nissan Chemical Industries, Ltd. Radiation sensitive composition
CN111433675B (zh) * 2017-12-13 2023-08-29 株式会社尼康 图案形成方法、晶体管的制造方法和图案形成用部件
WO2019181873A1 (ja) * 2018-03-19 2019-09-26 日産化学株式会社 保護されたフェノール基と硝酸を含むシリコン含有レジスト下層膜形成組成物
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography
US20210011383A1 (en) * 2019-07-12 2021-01-14 Inpria Corporation Stabilized interfaces of inorganic radiation patterning compositions on substrates
US20240010870A1 (en) * 2020-08-20 2024-01-11 Bar-Ilan University Uv-blocking coatings and anti-fogging and superhydrophobic coatings

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3427340A (en) * 1966-02-01 1969-02-11 Dow Corning Alkoxyalkarylsilanes and condensates thereof
US5648201A (en) * 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH1160735A (ja) * 1996-12-09 1999-03-05 Toshiba Corp ポリシランおよびパターン形成方法
WO2006126406A1 (ja) * 2005-05-24 2006-11-30 Nissan Chemical Industries, Ltd. ポリシラン化合物を含むリソグラフィー用下層膜形成組成物

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3461027A (en) 1966-02-01 1969-08-12 Dow Corning Bonding of thermoplastic polymer resins to silane-primed siliceous or metallic materials
JP2873855B2 (ja) 1990-03-26 1999-03-24 三菱レイヨン株式会社 被覆材組成物及びそれを用いた耐摩耗性合成樹脂成形品の製造方法
DE102006022842A1 (de) 2006-05-16 2007-11-22 Wacker Chemie Ag Über Methylolgruppen vernetzbare Siliconpolymere
EP1908472A1 (en) 2006-10-02 2008-04-09 Bayer Schering Pharma Aktiengesellschaft Silicon derivatives for PET imaging
JP2010100591A (ja) * 2008-10-27 2010-05-06 Nissan Chem Ind Ltd ハロゲン置換芳香環含有ポリシロキサン
JP5538941B2 (ja) 2010-02-18 2014-07-02 Jsr株式会社 レジスト下層膜形成方法、パターン形成方法、および組成物、レジスト下層膜形成材料用添加剤、架橋剤並びにレジスト下層膜
WO2012039337A1 (ja) 2010-09-21 2012-03-29 日産化学工業株式会社 保護された脂肪族アルコールを含有する有機基を有するシリコン含有レジスト下層膜形成組成物
TWI618985B (zh) 2011-08-10 2018-03-21 日產化學工業股份有限公司 具有碸構造之含矽阻劑底層膜形成組成物
EP2916170A4 (en) 2012-10-31 2016-06-08 Nissan Chemical Ind Ltd ESTER GROUP-CONTAINING COMPOSITION FOR FORMING SILICON RESERVE UNDERLAYER FILM
KR102182360B1 (ko) 2012-12-19 2020-11-24 닛산 가가쿠 가부시키가이샤 환상 디에스테르기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3427340A (en) * 1966-02-01 1969-02-11 Dow Corning Alkoxyalkarylsilanes and condensates thereof
US3427339A (en) * 1966-02-01 1969-02-11 Dow Corning Alkoxyalkarylalkylsilanes and condensates thereof
US5648201A (en) * 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH1160735A (ja) * 1996-12-09 1999-03-05 Toshiba Corp ポリシランおよびパターン形成方法
WO2006126406A1 (ja) * 2005-05-24 2006-11-30 Nissan Chemical Industries, Ltd. ポリシラン化合物を含むリソグラフィー用下層膜形成組成物

Non-Patent Citations (11)

* Cited by examiner, † Cited by third party
Title
A.BOCKHOLT ET AL.: "Neutral and cationic silicon species containing aryl-OCO- or aryl- SCS-type pincer ligands: synthesis, structure, bonding, and comparison with aryl-NCN systems", ZEITSCHRIFT FUER ANORGANISCHE UND ALLGEMEINE CHEMIE, vol. 635, no. 9-10, 2009, pages 1326 - 1334, XP055441759 *
A.HOEHNE ET AL.: "Organofluorosilanes as model compounds for 18F-labeled silicon-based PET tracers and their hydrolytic stability: Experimental data and theoretical calculations (PET = positron emission tomography", CHEMISTRY - A EUROPEAN JOURNAL, vol. 15, no. 15, 2009, pages 3736 - 3743, XP055441747 *
A.MIX ET AL.: "2-(Alkoxymethyl)phenylsilicon compounds: the search for pentacoordination", JOURNAL OF ORGANOMETALLIC CHEMISTRY, vol. 521, no. 1-2, 1996, pages 177 - 183, XP004036359, DOI: doi:10.1016/0022-328X(96)06326-7 *
A.P.KOSTIKOV ET AL.: "Oxalic Acid Supported Si- 18F-Radiofluorination: One-Step Radiosynthesis of N-Succinimidyl 3-(Di-tert-butyl[18F] fluorosilyl)benzoate ([18F]SiFB) for Protein Labeling", BIOCONJUGATE CHEMISTRY, vol. 23, no. 1, 2012, pages 106 - 114, XP055441733 *
DATABASE CAS Database accession no. 1443325-86-7 *
DATABASE REGISTRY [o] 8 July 2013 (2013-07-08), retrieved from STN *
L.IOVKOVA ET AL.: "para-functionalized aryl-di- tert-butylfluorosilanes as potential labeling synthons for 18F Radiopharmaceuticals", CHEMISTRY - A EUROPEAN JOURNAL, vol. 15, no. 9, 2009, pages 2140 - 2147, XP055071336 *
L.IOVKOVA-BERENDS ET AL.: "t-Bu2SiF-derivatized D2-receptor ligands: the first SiFA-Containing small molecule radiotracers for target-specific PET-imaging", MOLECULES, vol. 16, 2011, pages 7458 - 7479, XP055441737 *
See also references of EP3222688A4 *
W.J.LEIGH ET AL.: "Intramolecular Nucleophile- Induced Photorearrangements and Silene Formation from an o-(Methoxymethyl) phenylsilacyclobutane", JOURNAL OF THE AMERICAN CHEMICAL SOCIETY, vol. 125, no. 27, 2003, pages 8096 - 8097, XP055442046 *
W.W.SCHOELLER ET AL.: "Pentacoordination at fluoro-substituted silanes by weak Lewis donor addition", EUROPEAN JOURNAL OF INORGANIC CHEMISTRY, 2000, pages 375 - 381, XP055442055 *

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170154766A1 (en) * 2015-11-27 2017-06-01 Shin-Etsu Chemical Co., Ltd. Silicon-containing condensate, composition for forming a silicon-containing resist under layer film, and patterning process
WO2017154545A1 (ja) * 2016-03-10 2017-09-14 Jsr株式会社 レジストプロセス用膜形成材料、パターン形成方法及び重合体
WO2019009413A1 (ja) * 2017-07-06 2019-01-10 日産化学株式会社 アルカリ性現像液可溶性シリコン含有レジスト下層膜形成組成物
JP7157392B2 (ja) 2017-07-06 2022-10-20 日産化学株式会社 アルカリ性現像液可溶性シリコン含有レジスト下層膜形成組成物
JPWO2019009413A1 (ja) * 2017-07-06 2020-05-07 日産化学株式会社 アルカリ性現像液可溶性シリコン含有レジスト下層膜形成組成物
US11281104B2 (en) 2017-07-06 2022-03-22 Nissan Chemical Corporation Alkaline developer soluable silicon-containing resist underlayer film-forming composition
JP7011444B2 (ja) 2017-10-25 2022-01-26 テクノUmg株式会社 グラフト重合体および熱可塑性樹脂組成物
JP2019077808A (ja) * 2017-10-25 2019-05-23 ユーエムジー・エービーエス株式会社 重合体、グラフト重合体および熱可塑性樹脂組成物
JPWO2019124514A1 (ja) * 2017-12-20 2021-01-14 日産化学株式会社 光硬化性シリコン含有被覆膜形成組成物
WO2019124514A1 (ja) * 2017-12-20 2019-06-27 日産化学株式会社 光硬化性シリコン含有被覆膜形成組成物
JP7315900B2 (ja) 2017-12-20 2023-07-27 日産化学株式会社 光硬化性シリコン含有被覆膜形成組成物
JP2021522681A (ja) * 2018-04-25 2021-08-30 ヘルムホルツ−ツェントルム ベルリン フュア マテリアリエン ウント エナギー ゲゼルシャフト ミット ベシュレンクテル ハフツングHelmholtz−Zentrum Berlin fuer Materialien und Energie GmbH ペロブスカイト太陽電池のための正孔導電性自己組織化単分子層
WO2022039246A1 (ja) * 2020-08-21 2022-02-24 日産化学株式会社 Euvレジスト下層膜形成組成物

Also Published As

Publication number Publication date
JPWO2016080226A1 (ja) 2017-09-28
KR20170088827A (ko) 2017-08-02
EP3222688A1 (en) 2017-09-27
US10845703B2 (en) 2020-11-24
CN107075302A (zh) 2017-08-18
CN107075302B (zh) 2020-08-04
US20180335698A1 (en) 2018-11-22
TW201634614A (zh) 2016-10-01
JP6788222B2 (ja) 2020-11-25
SG11201704070SA (en) 2017-06-29
EP3222688A4 (en) 2018-06-27
KR102543831B1 (ko) 2023-06-15
TWI712659B (zh) 2020-12-11

Similar Documents

Publication Publication Date Title
JP5768991B2 (ja) 新規なシリルイソシアヌレート化合物
JP6150088B2 (ja) スルホン構造を有する新規シラン化合物
JP5534250B2 (ja) スルホンアミド基を有するシリコン含有レジスト下層膜形成組成物
JP5618095B2 (ja) スルフィド結合を有するシリコン含有レジスト下層膜形成組成物
JP6788222B2 (ja) 架橋反応性シリコン含有膜形成組成物
JP6436301B2 (ja) エステル基を有するシリコン含有レジスト下層膜形成組成物
JP5590354B2 (ja) アミック酸を含むシリコン含有レジスト下層膜形成組成物
JP6217940B2 (ja) 環状ジエステル基を有するシリコン含有レジスト下層膜形成組成物
JP6597980B2 (ja) ハロゲン化スルホニルアルキル基を有するシリコン含有レジスト下層膜形成組成物
WO2010071155A1 (ja) アニオン基を有するシリコン含有レジスト下層膜形成組成物
WO2012039337A1 (ja) 保護された脂肪族アルコールを含有する有機基を有するシリコン含有レジスト下層膜形成組成物
JP6882724B2 (ja) フェニル基含有クロモファーを有するシラン化合物
JP5818026B2 (ja) ジケトン構造含有有機基を含むシリコン含有レジスト下層膜形成組成物
WO2016009965A1 (ja) 脂肪族多環構造含有有機基を有するシリコン含有レジスト下層膜形成組成物
WO2016093172A1 (ja) ハロゲン含有カルボン酸アミド基を有する加水分解性シランを含むリソグラフィー用レジスト下層膜形成組成物
WO2016121686A1 (ja) カーボネート骨格を有する加水分解性シランを含むリソグラフィー用レジスト下層膜形成組成物

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15860187

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016560150

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20177008835

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 11201704070S

Country of ref document: SG

Ref document number: 15528037

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

REEP Request for entry into the european phase

Ref document number: 2015860187

Country of ref document: EP