WO2015141230A1 - 反射型フォトマスクブランク、反射型フォトマスク、反射型フォトマスクの製造方法、露光方法及び露光装置 - Google Patents

反射型フォトマスクブランク、反射型フォトマスク、反射型フォトマスクの製造方法、露光方法及び露光装置 Download PDF

Info

Publication number
WO2015141230A1
WO2015141230A1 PCT/JP2015/001543 JP2015001543W WO2015141230A1 WO 2015141230 A1 WO2015141230 A1 WO 2015141230A1 JP 2015001543 W JP2015001543 W JP 2015001543W WO 2015141230 A1 WO2015141230 A1 WO 2015141230A1
Authority
WO
WIPO (PCT)
Prior art keywords
reflective
reflective photomask
multilayer film
film
pattern
Prior art date
Application number
PCT/JP2015/001543
Other languages
English (en)
French (fr)
Inventor
泰史 西山
Original Assignee
凸版印刷株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2014170229A external-priority patent/JP2017084837A/ja
Application filed by 凸版印刷株式会社 filed Critical 凸版印刷株式会社
Publication of WO2015141230A1 publication Critical patent/WO2015141230A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/42Alignment or registration features, e.g. alignment marks on the mask substrates

Definitions

  • the present invention relates to a reflective photomask blank, a reflective photomask, a reflective photomask manufacturing method, an exposure method, and an exposure apparatus.
  • a reflective photomask blank used for exposure using EUV light includes a reflective multilayer film that reflects EUV light on a substrate, an absorption film that forms a pattern, and a resist film that selectively processes the absorption film Are stacked in this order, and the resist film on the blank is patterned to form a reflective photomask.
  • a prior art which raised the contrast of the reflected image while making the above-mentioned absorption film thin, there exist what was described in patent documents 1-patent documents 3, and nonpatent literature 1, for example.
  • JP 2004-207593 A Japanese Patent No. 4602430 JP 2002-299228 A
  • a reflective multilayer film and an absorption film are provided in this order on one surface of a substrate, and a conductive film is provided on the other surface of the substrate.
  • the position measurement mark is a reflection type photomask blank that is used for correcting an influence caused by stress release generated when the light shielding frame is formed.
  • the reflective photomask blank according to one aspect of the present invention even in the case where a light shielding frame is formed by removing the reflective multilayer film around the pattern region in the production of the reflective photomask, Therefore, it is possible to reduce the amount of misalignment of the transfer pattern due to the compressive stress and improve the position accuracy of the transferred image. More specifically, in the case of the reflective photomask blank according to one embodiment of the present invention, the compressive stress of the reflective multilayer film for each reflective photomask blank can be quantified as the displacement amount of the transfer pattern. Then, it is possible to optimally correct the pattern according to the numerical value, or to feed forward to the exposure apparatus, and to provide a reflective photomask blank that can control the position of the transferred image with high accuracy. Can do.
  • FIG. 1 is a cross-sectional view schematically showing the structure of a reflective photomask blank 502 according to the first embodiment of the present invention.
  • a reflective photomask blank 502 includes a substrate 10, a reflective multilayer film 20 that reflects EUV light that is laminated on a surface that is one surface of the substrate 10, and an absorption that forms a pattern.
  • a film 30 and a resist film 40 for selectively processing the absorption film 30 are provided.
  • the reflective photomask blank 502 includes a conductive film 50 formed on the back surface, which is the other surface of the substrate 10, for using an electrostatic chuck.
  • the reflective photomask blank 502 includes a fiducial mark 42 formed on the outer periphery thereof, a position measurement mark 34 for measuring the positional relationship between the fiducial mark 42, and the position measurement mark 34.
  • a reflective multilayer film removal mark (hereinafter also simply referred to as a “reflective multilayer film removal mark”) 35 for misregistration inspection formed by partially removing the reflective multilayer film 20 and the absorption film 30 is provided.
  • “in the vicinity of the position measurement mark 34” means a position close to the position measurement mark 34.
  • the reflective multilayer film removal mark 35 may be located between the position measurement mark 34 and the fiducial mark 42 and on the position measurement mark 34 side, as shown in FIG. May be located on the position measurement mark 34 side. That is, the position measurement mark 34 and the reflective multilayer film removal mark 35 need only be provided close to each other.
  • FIG. 2 is a cross-sectional view schematically showing the structure of the reflective photomask according to the first embodiment of the present invention. That is, FIG. 2 is a cross-sectional view schematically showing the structure of a reflective photomask 600 manufactured with a pattern that has been optimally corrected based on the compressive stress data of the reflective multilayer film 20.
  • the reflective photomask 600 includes a substrate 10, a reflective multilayer film 20, an absorption film 30, a position measurement mark 34, a reflective multilayer film removal mark 35, a fiducial mark 42, and a conductive film 50.
  • a light shielding frame 21 and a mask pattern 36 are further provided.
  • FIG. 2 shows a mask pattern 36 formed between the light shielding frame 21 formed on the reflective multilayer film removal mark 35 side and the light shielding frame 21 formed on the fiducial mark 42 side. Yes.
  • the substrate 10 for example, an ultra-low thermal expansion material (hereinafter referred to as “LTEM”) made of silicon oxide containing titanium oxide is used.
  • LTEM ultra-low thermal expansion material
  • a reflective multilayer film 20 is formed on the surface which is one surface of the substrate 10.
  • the reflective multilayer film 20 is a multilayer film that reflects EUV light.
  • the reflective multilayer film 20 is formed by alternately stacking 40 to 50 layers of silicon and molybdenum with a film thickness of about 4 nm and 3 nm.
  • a film (not shown) for protecting the surface of the reflective multilayer film 20 is formed.
  • the film for protecting the surface of the reflective multilayer film 20 is generally also called a capping layer.
  • An absorption film 30 is formed on the reflective multilayer film 20.
  • the absorption film 30 is a film formed of a substance that absorbs EUV light.
  • the absorption film 30 is a film formed with tantalum as a main component, for example.
  • the absorption film 30 may have a multilayer structure for the purpose of increasing the sensitivity of pattern defect inspection, for example.
  • the optical axis of the exposure light is not perpendicular to the substrate 10 of the reflective photomask but may be slightly inclined, for example, from the direction in which the principal ray is inclined by about 6 °. For this reason, the amount of shadows varies depending on the angle formed by the contour line of the pattern and the incident surface. Since such an effect affects the shape and dimensions of the transfer pattern, it needs to be corrected on the pattern of the reflective photomask.
  • the film thickness of the absorption film 30 is thick, the amount of correction increases. For this reason, it is preferable from this viewpoint that the film thickness of the absorption film 30 is as thin as possible.
  • the contrast of the transferred image may be lowered.
  • the phase of the light reflected on the surface of the absorption film 30 and the light reflected on the surface of the reflective multilayer film 20 is shifted by 180 °, thereby Good contrast can be obtained.
  • the film thickness of the absorption film 30 is reduced, the light incident on the outside of the pattern region, which is the region where the mask pattern 36 is formed, may reach the adjacent chip region on the wafer surface.
  • the outside of the pattern area is masked by a member called a reticle mask blade attached to the exposure apparatus, but complete masking is difficult.
  • an EUV mask having a low reflectance of exposure light has been proposed in the vicinity of the pattern region provided in the reflective photomask.
  • an EUV mask having a structure in which the reflective multilayer film 20 is removed from the entire surface other than the pattern region it is difficult to form alignment marks and the like arranged around the pattern region. Therefore, an EUV mask in which a low reflection region is arranged in a frame shape so as to surround the pattern region has also been proposed.
  • the low reflection region provided in the frame shape is generally called a light shielding frame or a light shielding band.
  • Typical examples of the shape of the light shielding frame include those in which the reflective multilayer film 20 is removed to reduce the reflectivity of EUV light, and those in which the light shielding material is further laminated on the light shielding frame portion.
  • the former is more advantageous in terms of preventing the occurrence of defects.
  • the reflective multilayer film 20 is directed from the center of the substrate 10 toward the outside inside the substrate 10 relative to the light shielding frame 21, and toward the inside where the center of the substrate 10 is located outside the substrate 10 relative to the light shielding frame 21. Each grows. For this reason, the deformation of the reflective multilayer film 20 due to this elongation stops when the force that the reflective multilayer film 20 stretches and the force that the LTEM and other films repel are balanced.
  • Such deformation can be calculated by structural analysis simulation.
  • the physical property values of the LTEM and the reflective multilayer film 20 used as the substrate 10 for example, those disclosed in Non-Patent Document 1 and the like can be used.
  • it can also measure using techniques, such as nanoindentation.
  • the stress of the reflective multilayer film 20 can be measured from the amount of deflection caused by the stress by measuring the flatness of the surface of the substrate 10 before and after the reflective multilayer film 20 is formed.
  • an equation called a Stoney equation representing the relationship between the radius of curvature and the stress may be applied, or more precisely, it may be obtained by fitting with a calculation result by a structural analysis program such as a finite element method.
  • FIG. 4 shows the result of calculating the amount of displacement in the X-axis direction for each upper point.
  • the light shielding frame 21 is formed with a width of 2 mm from 52 mm to 54 mm in the X-axis direction from the center of the substrate 10. The reason why the amount of displacement increases linearly from the center of the substrate 10 toward the end is that the entire substrate 10 is bent in a convex shape by the compressive stress of the reflective multilayer film 20.
  • FIG. 5 shows a result obtained by subtracting the result of calculating the amount of displacement in the X-axis direction in the same manner without the light shielding frame 21 from the result shown in FIG. That is, FIG. 5 represents the difference in the amount of displacement in the X-axis direction depending on the presence or absence of the light shielding frame 21.
  • the amount of outward displacement increases rapidly as it approaches the end of the light shielding frame 21. Further, on the outside of the light shielding frame 21, it is displaced inward by substantially the same amount of displacement. It should be noted that the amount of displacement is generally negative outside the light shielding frame 21, which is understood to be due to the fact that the formation of the light shielding frame 21 alleviates the force that stretches the entire substrate surface outward. be able to.
  • the amount of offset to the negative side correlates with the stress of the film and the width of the light shielding frame 21 if the materials are the same. More specifically, the offset amount increases as the stress of the film increases and the width of the light shielding frame 21 increases.
  • the result calculated only in the inner edge part vicinity of the light shielding frame 21 is shown in FIG. Note that the reason why the maximum displacement value is smaller than the value shown in FIG. 5 is that the calculation conditions are slightly different.
  • the amount of displacement in the X-axis direction increases as it approaches the end of the light shielding frame 21 as a whole, and has a peak at a distance of about 0.1 ⁇ m from the end of the light shielding frame 21. It can be understood that this is because the absorption film 30 formed on the reflective multilayer film 20 has a small internal stress, and thus a force that pulls the inner upper end of the light shielding frame 21 inward acts.
  • the calculation result shown in FIG. 6 reproduces the result of actually measuring the position of the pattern arranged in the vicinity of the light shielding frame 21, and sufficient reliability can be obtained by appropriately adjusting the physical property value of the absorption film 30. It is done.
  • the stress of the film can be calculated from the amount of deflection by measuring the surface shape before and after forming the reflective multilayer film 20, for example. Further, since the thermal expansion coefficient of the substrate 10 is extremely small, the stress distribution in the film thickness direction of the reflective multilayer film 20 may be added to the input conditions in consideration of the stress accompanying the temperature change. More precisely, the stress of the film can be set and calculated, and the displacement amount at each position of the end of the light shielding frame 21 can be accumulated as a database. Stress can be acquired more accurately by collating with such a database.
  • the position measurement mark 34 is formed in the absorption film 30 formed in the outer region of the light shielding frame 21 that is not used for pattern formation, and the reflective multilayer film 20 in the region in the vicinity thereof is removed by etching, thereby the reflective multilayer film removal mark.
  • the stress information of the film can be extracted by measuring the position change amount.
  • information regarding the positional deviation inside the light shielding frame 21 can be obtained by simulation calculation.
  • the database may be constructed so that the information on the positional deviation inside the light shielding frame 21 can be output at the time of collation with the database.
  • the positional deviation caused by the release of stress by etching the light shielding frame 21 is sufficient in the in-plane uniformity of the internal stress of the reflective multilayer film 20. If the in-plane distribution is grasped with sufficient accuracy, the fitting can be performed by the above simulation. Furthermore, the amount of deviation from the design value at the position where the light shielding frame 21 is actually formed can also be calculated.
  • the reflective photomask 600 includes relative position information between the position measurement mark 34 formed in the outer region of the light shielding frame 21 and the fiducial mark 42 as described above. Further, the fiducial mark 42 is naturally formed in the defect inspection of the reflective multilayer film 20 in view of the purpose. Moreover, between each process mentioned later, a well-known washing
  • an LTEM having a sufficiently flat surface is prepared as a substrate 10.
  • the reflective multilayer film 20 is formed on the surface that is one plane of the substrate 10, and the conductive film 50 is formed on the back surface that is the other plane of the substrate 10.
  • a thin film may be formed between the reflective multilayer film 20 and the substrate 10 for some purpose.
  • a resist film 400 is applied on the reflective multilayer film 20.
  • exposure and development are performed using a known lithography technique to form a resist pattern 41 for forming a fiducial mark 42 on the resist film 400.
  • FIG. 7E a part or all of the reflective multilayer film 20 is etched away in the film thickness direction of the reflective multilayer film 20 using the resist film 400 on which the resist pattern 41 is formed as a mask.
  • the fiducial mark 42 is formed.
  • the resist film 400 is removed.
  • FIG. 7 (f) a defect inspection of the reflective multilayer film 20 is performed to obtain relative position information with respect to the fiducial mark 42.
  • FIG. 7G an absorption film 30 is formed on the reflective multilayer film 20. When the absorption film 30 is formed, the fiducial mark 42 is hidden. Therefore, as shown in FIG.
  • a resist film 401 is first applied to remove the absorption film 30 in the region (part) overlapping the fiducial mark 42.
  • a resist pattern 43 for removing the absorption film 30 in the region (part) overlapping with the fiducial mark 42 is formed on the resist film 401 by a lithography process.
  • FIG. 7J in addition to the resist pattern 43, a resist pattern 44 for forming the position measurement mark 34 and a reflective multilayer film removal mark 35 are formed.
  • the resist pattern 45 is continuously exposed.
  • FIG. 7 (k) the position measurement mark 34 and the reflective multilayer film removal mark 35 can be formed simultaneously with the etching of the absorption film 30 to expose the fiducial mark 42.
  • FIG. 7 (i) and FIG. 7 (j) show how the fiducial mark 42, the position measurement mark 34, and the reflective multilayer film removal mark 35 are separately formed.
  • the marks can be simultaneously formed by a single development process (lithography process).
  • the best direction for disposing the reflective multilayer film defect so that the position of the reflective multilayer film defect is possible by pattern position adjustment or pattern correction is unknown.
  • the periphery of the reflective photomask outside the transfer region can be rotated in any direction. It is necessary to arrange the position measurement mark 34 and the reflective multilayer film removal mark 35 at a position that does not interfere with the pattern arranged for various purposes in the part.
  • the required accuracy differs between the resist pattern 43 for exposing the fiducial mark 42 and the position measurement mark 34.
  • the resist pattern 43 and the position measurement mark 34 for exposing the fiducial mark 42 may be steps using different exposure apparatuses.
  • the reflective multilayer film 20 in the region of the resist pattern 45 for forming the reflective multilayer film removal mark 35 arranged in the vicinity of the resist pattern 44 is formed from FIG. As shown in FIG. Details of each step shown in FIGS. 7 (l) to 7 (o) will be described below.
  • a resist film 402 is formed as shown in FIG.
  • FIG. 7M the resist film 402 is exposed and developed so that only the resist pattern 45 is provided.
  • the absorption film 30 is etched using the resist film 402 having only the resist pattern 45 as a mask.
  • conditions with a high selection ratio with respect to the reflective multilayer film 20 are used, so that the etching rate is reduced when the etching reaction surface reaches the surface of the absorption film 30.
  • etching is performed under the same conditions as those for forming the light shielding frame 21 by removing the reflective multilayer film 20.
  • a capping layer (not shown) is provided on the surface of the reflective multilayer film 20, a step of etching the capping layer may be added as necessary.
  • FIG. 7 (n) shows a state where the reflective multilayer film 20 has been etched
  • FIG. 7 (o) shows a state where the resist film 402 has been removed.
  • the shape of the reflective multilayer film removal mark 35 formed by etching away the reflective multilayer film 20 is, for example, a square or a rectangle having a side of 1 mm to 3 mm. If the size of the pattern from which the reflective multilayer film 20 is removed is too small, the misalignment amount becomes complicated because the adjacent or opposite sides are close, and the correction accuracy may be insufficient. On the other hand, if it is too large, the opening may affect the position accuracy of other patterns. Further, the position measurement mark 34 is preferably formed over a range of 0.1 ⁇ m to 1 mm from the end in the removal region of the reflective multilayer film 20 (that is, the reflective multilayer film removal mark 35).
  • the absolute value of the displacement amount (displacement amount) is maximized in the vicinity of the end portion in the removal region of the reflective multilayer film 20, and this range is accurately grasped. Because.
  • the maximum point is at a position of about 0.5 ⁇ m to 20 ⁇ m from the end in the removal region of the reflective multilayer film 20, and the positional deviation amount gradually decreases as the distance from the maximum point increases. For this reason, when the measurement error of the position measuring device is taken into account, if information is measured up to a portion about 1 mm away from the end portion, sufficient information on the displacement due to stress release can be obtained. Therefore, the reflection formed on the substrate 10 by measuring and comparing the position of the position measurement mark 34 in the state 500 shown in FIG.
  • the amount of displacement based on the influence of the stress of the multilayer film 20 can be grasped.
  • an approximation function may be obtained according to the distance between the reflective multilayer film removal mark 35 and the position measurement mark 34, or the removal area of the reflective multilayer film 20 may be obtained by fitting with a model using the above-described structural analysis program. Data on the amount of misregistration corresponding to the position from the end in FIG.
  • Correction can be applied to the reflective photomask pattern data using a known technique so as to cancel out the pattern shift amount obtained in this way.
  • a reflective photomask blank 501 having the fiducial mark 42 and the position correction information is obtained.
  • the reflective photomask blank 501 is coated with a resist film 403 used for drawing the mask pattern 36.
  • the reflective photomask according to the embodiment of the present invention is also applied. It is included in the blank.
  • the corrected pattern is drawn on the resist film 403 formed on the absorption film 30, developed, etched, and removed. Thereby, a mask pattern 36 is formed.
  • a reflective photomask 503 that does not include the light shielding frame 21 is manufactured.
  • a pattern defect inspection is performed, and the pattern defect is corrected as necessary.
  • a resist film 404 is formed on the reflective photomask 503.
  • a resist pattern 46 for forming the light shielding frame 21 is formed.
  • the absorption film 30 and the reflective multilayer film 20 are removed by etching.
  • the etching of the absorption film 30 and the reflective multilayer film 20 is a combination of a plurality of conditions. It should be noted that the absorption film 30 and the reflective multilayer film 20 can be continuously etched if conditions capable of etching both the absorption film 30 and the reflective multilayer film 20 can be selected.
  • the remaining resist film 404 is removed and washed to manufacture a reflective photomask 600 having a light shielding frame 21 as shown in FIG.
  • the remaining resist film 404 is removed and washed to manufacture a reflective photomask 600 having a light shielding frame 21 as shown in FIG.
  • FIG. 8 shows the same state as FIG. 7 (i).
  • the absorption film 30 and the reflective multilayer film 20 in this state are etched as shown in FIG. Thereafter, the resist film 401 is removed.
  • FIG. 8K a general reflective photomask blank 504 having the fiducial mark 42 is manufactured.
  • the manufacturing process can be shortened as compared with the manufacturing process described in the first embodiment by obtaining the positional deviation information using the light shielding frame 21 that is actually formed. That is, first, as shown in FIG. 8L, a resist film 405 is applied on the reflective photomask blank 504. After that, as shown in FIG. 8M, a resist pattern 46 for forming the light shielding frame 21 and a resist pattern 44 for forming the position measurement mark 34 are formed on the resist film 405. Using the resist film 405 having the resist patterns 44 and 46 as an etching mask, the absorption film 30 is etched as shown in FIG. Thereafter, as shown in FIG.
  • the resist film 405 is removed, and the position of the position measurement mark 34 is recorded as a relative position with respect to the fiducial mark 42. Thereafter, as shown in FIG. 8 (p), a resist film is applied again on the etched absorption film 30.
  • a resist pattern for forming the light shielding frame 21 is formed on the resist film.
  • the resist film is baked.
  • a capping layer (not shown) formed on the reflective multilayer film 20 is removed by etching.
  • the reflective multilayer film 20 in the region where the light shielding frame 21 is formed is removed by etching. In this way, the light shielding frame 21 is formed. Thereafter, the resist film is removed as shown in FIG.
  • the position of the position measurement mark 34 is measured again.
  • information on the positional deviation amount due to the formation of the light shielding frame 21 can be extracted. From this result, information on the stress of the reflective multilayer film 20 can be obtained, and the correction amount for the mask pattern 36 formed inside the light shielding frame 21 can be determined. If the amount of positional deviation of the mask pattern 36 due to deformation of the substrate 10 when the substrate 10 is attracted to the electrostatic chuck is included in this correction amount, correction with higher accuracy can be performed.
  • the above correction is applied to the pattern drawing data, and the mask pattern 36 is formed inside the light shielding frame 21 in the same manner as described in the first embodiment. Thereafter, the resist film is removed, and a reflective photomask 601 according to a modification of the first embodiment is manufactured as shown in FIG.
  • the light shielding frame 21 is formed by digging the reflective multilayer film 20 until it reaches the substrate 10, the inside of the light shielding frame 21 is electrically grounded.
  • misalignment due to charging may occur when a pattern is formed by an electron beam drawing apparatus.
  • Such misregistration may be caused in the step shown in FIG. 8 (t) by leaving a part of the reflective multilayer film 20 to complete etching, or not shown in the figure, but between the reflective multilayer film 20 and the substrate 10.
  • a conductive film may be inserted. It is also effective to coat a conductive film on the surface of the resist film formed in the step shown in FIG.
  • description is omitted in the above manufacturing process, for example, a substrate cleaning process using a known technique may be added between the processes as necessary.
  • the pattern displacement due to the stress release of the reflective multilayer film 20 is less than 1 nm on the reflective photomask is a curve that draws a very long skirt of 400 nm from the end of the light shielding frame 21. Yes. Therefore, if the arrangement of the mask pattern 36 is separated from the light shielding frame 21, the influence of such a positional deviation is not substantially received.
  • the light shielding frame 21 is provided for use as a pattern area up to as wide an area as possible, and it is not the best solution to reduce the pattern area.
  • the alignment mark it is possible to obtain the amount of misalignment of the alignment mark based on the obtained stress information by calculating backward from the relationship between the distance from the end of the light shielding frame 21 and the misalignment obtained from the above-described embodiment. It is. Using this information, the optimum value of the magnification correction amount can be obtained simultaneously with the alignment.
  • a mark called an alignment mark used for the purpose of alignment with an already formed portion is arranged at the periphery of the pattern area arranged inside the light shielding frame 21.
  • the alignment mark is in a region where the positional deviation is caused by the formation of the reflective multilayer film 20, it cannot be guaranteed that the alignment is accurately performed over the entire pattern region even if the alignment mark position is appropriate. For example, even if the alignment mark positions arranged at both ends of the pattern area are appropriate, the alignment mark positions that are displaced from the center of the substrate toward the outside are in alignment. A positional shift occurs inward toward the center of the substrate, and the reduction ratio of the main pattern shifts from the optimum value.
  • ULE registered trademark, manufactured by Corning
  • molybdenum and the reflective multilayer film 20 were formed on one side of the main plane.
  • a substrate was prepared by alternately laminating silicon and forming a film mainly composed of chromium nitride as the conductive film 50 on the back surface of the substrate 10.
  • a fiducial mark 42 was formed on the reflective multilayer film 20 using a known lithography technique, and a defect inspection of the reflective multilayer film 20 surface was performed. The detected coordinates were recorded as a relative position with respect to the fiducial mark 42.
  • an absorption film 30 containing tantalum as a main component was formed on the reflective multilayer film 20.
  • a reflective multilayer film removal mark 35 is formed in an empty area around the reflective photomask.
  • a resist film 401 including a resist pattern 45 and a resist pattern 44 for forming the position measurement mark 34 was formed.
  • the resist pattern as a reflective photomask, the fiducial mark 42 is exposed and easily visible, and the area of the position measurement mark 34 and the reflective multilayer film removal mark 35 is also exposed on the surface of the reflective multilayer film 20. It was. In this state, the position of the position measurement mark 34 was measured by a pattern position measurement device LMS IPRO (manufactured by VISTEC).
  • the reflective multilayer film 20 was removed by etching using the resist film 402 from which only the resist pattern 45 was exposed as a mask.
  • the position of the position measurement mark 34 was measured in the same manner as described above, and a position change amount before and after the removal of the reflective multilayer film 20 was obtained.
  • the position measurement mark 34 is located at a distance from the removal region of the reflective multilayer film 20 between 2 ⁇ m and 1 mm, and the same results as in FIGS. 5 and 6 were obtained from the respective measurement results.
  • the relationship between the distance from the end of the removal region in the reflective multilayer film 20 and the amount of positional deviation was approximated by a polynomial, and based on this, the pattern drawing position of the reflective photomask pattern data was corrected.
  • a resist film 403 was applied, the mask pattern 36 subjected to the above correction was formed by electron beam drawing, and a reflective photomask 503 was manufactured through the steps of etching the mask pattern 36 and removing the resist.
  • a resist film 406 is applied to form a resist pattern 46 for forming the light shielding frame 21, the resist pattern 46 is exposed by a laser drawing apparatus, developed, etched, resist removed, and the light shielding frame 21.
  • a reflective photomask 600 having the above structure was obtained.
  • FIG. 1 is a cross-sectional view schematically showing the structure of a reflective photomask blank 505 according to the second embodiment of the present invention.
  • the reflective photomask blank 505 according to this embodiment and the reflective photomask blank 502 according to the first embodiment have the same cross-sectional structure. Therefore, in this embodiment, the description of the cross-sectional structure of the reflective photomask blank 505 is omitted.
  • FIG. 9 is a plan view schematically showing a part of the reflective photomask blank according to the second embodiment of the present invention, and is a position measurement mark 34 for measuring the positional relationship with the fiducial mark 42.
  • FIG. 5 is a conceptual view of the reflective multilayer film removal mark 35 in which the reflective multilayer film 20 and the absorption film 30 are partially removed in the vicinity, as viewed from above.
  • the resist film 40 is not shown in FIG.
  • the optimum size of the position measurement mark 34 is very small compared to the reflective multilayer film removal mark 35, but in FIG. 9, it is drawn large for explanation.
  • the arrangement positions and shapes of the position measurement marks 34 shown in FIG. 9 are merely examples, and do not limit the application conditions of the present invention.
  • the reflective multilayer film removal mark 35 has at least one 90 ° convex portion 350 on the inside.
  • the 90 ° convex portion 350 may be disposed in contact with the outer side of the reflective multilayer film removal mark 35 as shown in FIG. 9A, or as shown in FIG. 9B. It may be arranged inside the film removal mark 35 and not in contact with the outer side.
  • the shape of the reflective multilayer film removal mark 35 may be an L-shape bent at a right angle in a plan view as shown in FIG. 9A, or as shown in FIG. It may have a frame shape that is quadrangular in plan view.
  • each of the absorption film 30 and the reflective multilayer film 20 of the reflective photomask blank 502 has an angle of 90 degrees as viewed in the thickness direction of the reflective photomask blank 502 at a portion in contact with the reflective multilayer film removal mark 35. What is necessary is just to provide the convex part 350 which is a corner
  • a linear pattern having a certain width is bent by 90 ° to mark a mark equivalent to the vicinity of the corner portion (convex portion 350) arranged inside the light shielding frame 21 with a reflective multilayer.
  • the film removal mark 35 is formed.
  • “equivalent” means that the reflective multilayer film removal mark 35 and the corner of the light shielding frame 21 have the same shape.
  • a reflective multilayer having the same shape as the corner portion such as the convex portion 350 is provided in order to improve the position correction accuracy of the corner portion disposed inside the light shielding frame 21. Information on the correction amount is obtained by removing the film 20 and measuring the positional deviation of the position measurement mark 34.
  • a rectangular pattern having a smaller position measurement mark 34 is provided in the rectangular pattern, and a reflective multilayer film removal mark 35 is provided between the two patterns, and the corners of the rectangular pattern are defined as light shielding frames.
  • a mark equivalent to the vicinity of the corner portion inside 21 is formed.
  • the reflective multilayer film removal mark 35 is equivalent to the corner of the light shielding frame 21 formed by removing the absorption film 30 and the reflective multilayer film 20 at the intersection of the vertical pattern and the horizontal pattern.
  • the reflective multilayer film removal mark 35 is equivalent to the corner of the light shielding frame 21 formed by removing the absorption film 30 and the reflective multilayer film 20 at the intersection of the vertical pattern and the horizontal pattern.
  • FIG. 9 A position measurement mark 34 as shown in FIG. 9 is shown in FIG. 1 as a position measurement mark 34 composed of two grooves, but is assigned to other applications on the outer periphery of the reflective photomask blank 502. A plurality of parts may be arranged in the part that is not provided.
  • FIG. 2 is a cross-sectional view schematically showing the structure of a reflective photomask according to the second embodiment of the present invention, and a pattern that has been optimally corrected based on the compressive stress data of the reflective multilayer film 20.
  • FIG. 6 is a cross-sectional view showing a reflective photomask 602 manufactured according to the method.
  • the reflective photomask 602 according to the present embodiment and the reflective photomask 600 according to the first embodiment have the same cross-sectional structure. Therefore, in this embodiment, the description of the cross-sectional structure of the reflective photomask 602 is omitted.
  • the fiducial mark 42 is used as the reference for the position measurement mark 34.
  • the reference pattern on the absorption film 30 at the same time as the position measurement mark 34 is formed. Can be evaluated in the same way. Similar to the first embodiment, in the calculation of the displacement amount in the X direction shown in FIGS. 5 and 6, it can be accurately obtained by two-dimensional calculation using the cross-sectional structure as a model, Good consistency. However, in the vicinity of the four corners of the light shielding frame 21, it may not be possible to obtain sufficient accuracy if it is approximated to two dimensions.
  • the reflective multilayer film removal mark 35 includes a convex shape of 90 ° on the inner side, which is considered to be equivalent to the vicinity of the corner portion on the inner side of the light shielding frame 21, and the position measurement mark 34 in the vicinity thereof.
  • the pattern position is affected by the holding state of the mask. In the pattern position measuring apparatus, it is typically supported at three points near the edge of the back surface of the mask substrate. Changes in the pattern position caused by the deflection of the mask substrate due to gravity are affected by these three support points, but this can also be corrected, and a commercially available position measuring apparatus is also equipped with a correction function.
  • the procedure for correcting the change in the amount of deflection due to gravity due to the formation of the light shielding frame 21 has not been disclosed so far. According to this embodiment, since the position change due to the holding state of the mask substrate is included, correction with higher accuracy is possible. Further, in the state of being attracted to the electrostatic chuck in the exposure apparatus, it is not influenced by gravity, but is affected by the flatness of the electrostatic chuck and the friction at the time of suction. The local positional change in the vicinity of the end portion of the light shielding frame 21 due to the release of the stress of the reflective multilayer film 20 has a relatively small elastic coefficient and a large thickness of 6.35 mm. Therefore, there is no significant change before and after adsorption to the electrostatic chuck.
  • FIG. 10 (a) is a calculation result of a state in which no external force is applied and virtually floating in the air.
  • FIG. 10B shows a calculated state in which a force simulating electrostatic force is applied so that the back surface of the substrate 10 is in close contact with the electrostatic chuck.
  • FIG. 7 is a manufacturing process diagram illustrating a manufacturing method of the reflective photomask blank and the reflective photomask according to the second embodiment of the present invention.
  • FIG. 8 is a manufacturing process diagram showing a modification of the manufacturing method of the reflective photomask blank and the reflective photomask according to the second embodiment of the present invention.
  • the manufacturing method of the reflective photomask 602 is substantially the same as the manufacturing method of the reflective photomask 600 according to the first embodiment. Therefore, in this embodiment, the description of the manufacturing method of the reflective photomask 602 is omitted.
  • the reflective multilayer film removal mark 35 which is a region where the reflective multilayer film 20 is etched, is square or rectangular as shown in FIG. 9 (a).
  • the length of one side constituting the reflective multilayer film removal mark 35 is preferably 1 mm to 4 mm. If the size of the reflective multilayer film removal mark 35 is too small, a region that can be regarded as almost the same as the straight portion of the light shielding frame 21 cannot be taken sufficiently, and it cannot be regarded as equivalent to the corner portion of the light shielding frame 21. There is a possibility that information on accuracy correction cannot be obtained with sufficient accuracy. On the contrary, if the reflective multilayer film removal mark 35 is too large, the opening may affect the position accuracy of other patterns.
  • the positional deviation amount outside the light shielding frame 21 formed by removing the reflective multilayer film 20 as shown in FIG. 5 can be extracted together with the positional deviation amount data.
  • a positional change amount is affected by the holding state of the substrate 10. For example, when three points near the end of the substrate 10 are held as support points, the amount of deflection due to gravity of the substrate 10 slightly changes before and after the formation of the light shielding frame 21. Therefore, in the inspection of the positional accuracy of the pattern formed in the vicinity of the light shielding frame 21 formed by removing the reflective multilayer film 20, the value of the product considering the variation of the deflection amount due to gravity is used as a reference. The quality can be determined with high accuracy.
  • the pattern position accuracy in a state where the substrate 10 is installed in the exposure apparatus can be calculated. Further, the position of the pattern with respect to the substrate center when it is installed in the exposure apparatus, and the position of the pattern with respect to the substrate center when the pattern is supported at three points near the substrate edge by the position inspection device of the mask pattern 36 Differences can also be calculated. By performing the pattern position inspection using the above information, it is possible to accurately determine the quality of the pattern position accuracy of the reflective photomask product.
  • ULE registered trademark, manufactured by Corning
  • ULE registered trademark, manufactured by Corning
  • a substrate was prepared by alternately laminating silicon and forming a film mainly composed of chromium as the conductive film 50 on the back surface of the substrate 10.
  • a fiducial mark 42 was formed on the reflective multilayer film 20 using a known lithography technique, and a defect inspection of the reflective multilayer film 20 surface was performed. The detected coordinates were recorded as a relative position with respect to the fiducial mark 42.
  • an absorption film 30 containing tantalum as a main component was formed on the reflective multilayer film 20.
  • a reflective multilayer film removal mark 35 is formed in an empty area around the reflective photomask.
  • a resist film 401 including a resist pattern 45 and a resist pattern 44 for forming the position measurement mark 34 was formed.
  • the fiducial mark 42 is exposed and easily visible using the resist pattern as a reflective photomask, and the surface of the reflective multilayer film 20 is also exposed in the misalignment measurement pattern and the reflective multilayer film removal pattern region.
  • the position of the position measurement mark 34 was measured by a pattern position measurement device LMS IPRO (manufactured by VISTEC).
  • the reflective multilayer film 20 was removed by etching using the resist film 402 from which only the resist pattern 45 was exposed as a mask.
  • the position of the position measurement mark 34 was measured in the same manner as described above, and a position change amount before and after the removal of the reflective multilayer film 20 was obtained.
  • the position measurement mark 34 is located at a distance from the removal region of the reflective multilayer film 20 between 1 ⁇ m and 1 mm, and the same results as in FIGS. 5 and 6 were obtained from the respective measurement results.
  • the distance in the X and Y directions from the corner of the light shielding frame 21 is determined from the amount of pattern deviation in the X and Y directions of the position measurement mark 34 in the vicinity of the corner provided in a convex shape inside the reflective multilayer film removal mark 35.
  • the components in the X and Y directions of the deviation amount were extracted.
  • the relationship between the distance from the end of the removal region in the reflective multilayer film 20 and the amount of positional deviation was approximated by a polynomial, and the drawing position of the reflective photomask pattern data was corrected based on this.
  • a resist film 403 was applied, the mask pattern 36 subjected to the above correction was formed by electron beam drawing, and a reflective photomask was manufactured through the steps of etching the mask pattern 36 and removing the resist.
  • a resist film 406 is applied to form a resist pattern 46 for forming the light shielding frame 21, the resist pattern 46 is exposed by a laser drawing apparatus, developed, etched, resist removed, and the light shielding frame 21.
  • EUV light Extrem ultraviolet light
  • a transmission type optical system cannot be used as in the case of using 193 nm ultraviolet light which has been conventionally used. Therefore, a reflective optical system using a reflective film in which a large number of layers are laminated is used so that reflection at an interface is strengthened using a plurality of materials having different refractive indexes.
  • a multilayer reflective film is also used for a photomask in which a transfer pattern such as a device circuit is formed (hereinafter, a reflective photomask used for exposure using EUV light is also referred to as an “EUV mask”).
  • a method of forming a pattern a method of patterning the reflective multilayer film itself and a method of forming an absorption pattern on the reflective multilayer film are conceivable, but the latter is the point in forming a fine pattern with high accuracy. Is more advantageous and common.
  • FIG. 11 illustrates a cross-sectional structure of a general reflective photomask blank 100.
  • the reflective photomask blank 100 includes a reflective multilayer film 20 that reflects EUV light on the substrate 10, an absorption film 30 that forms a pattern, a resist film 40 for selectively processing the absorption film 30, and the above A conductive film 50 for using an electrostatic chuck is formed on the back surface of the substrate 10 on which each layer is formed.
  • a conductive film 50 for using an electrostatic chuck is formed on the back surface of the substrate 10 on which each layer is formed.
  • very precise control is required in the reflection type photomask as well as the conventional photomask.
  • an EUV mask which is a reflective photomask, has an optical axis of exposure light that is incident on the reflective photomask substrate at an angle rather than perpendicularly.
  • This angle is typically about 6 °.
  • the flatness of the substrate 10 is considered to be a major factor affecting the positional accuracy.
  • technical development is progressing to improve the flatness of both the EUV mask substrate and the electrostatic chuck used for adsorption in the exposure apparatus.
  • the incident direction of the exposure light is slightly oblique as described above, the light reflected from the reflective photomask is different, for example, the transfer characteristics differ between the pattern parallel to the exposure optical axis and the pattern perpendicular to the exposure optical axis. Causes various asymmetries.
  • the contrast of the reflected image is lowered when the absorption pattern is thinned, the phase of the minute light reflected from the absorption pattern portion is reflected from the reflective multilayer film 20 as in the halftone phase shift mask in the conventional photomask. It has been devised to design so as to be shifted by approximately 180 ° with respect to the reflected light (see, for example, Patent Document 1).
  • This is called a light shielding frame (see, for example, Patent Document 2).
  • Various methods for forming the light shielding frame are also disclosed in Patent Document 2, but the method of removing the reflective multilayer film 20 by etching is the most effective.
  • the reflective multilayer film 20 is generally formed by alternately laminating 40 to 50 layers of molybdenum and silicon with thicknesses of about 3 nm and about 4 nm, respectively. It is very difficult to produce such a reflective multilayer film 20 without defects, and it is virtually impossible to flatly correct a portion that is not flatly laminated.
  • the defective pattern is absorbed by shifting the pattern formation position so as to avoid some defects.
  • Techniques have been developed in which the image is overlapped with each other, or a defect in the reflective multilayer film 20 is corrected by correcting the absorption pattern so that normal transfer can be performed.
  • a pattern serving as a reference is necessary in order to dispose the defect, and a pattern called a fiducial mark for inspecting the defect of the reflective multilayer film 20 before the absorption film 30 is formed. Is formed in advance, and the position of the defect in the reflective multilayer film 20 is recorded as a relative position to the fiducial mark.
  • the EUV mask uses the extremely flat substrate 10 in order to increase the pattern position accuracy.
  • the reflective multilayer film 20 is formed by ion beam sputtering, for example, as shown in Patent Document 3, it generally has an internal stress in the compression direction so that the reflective multilayer film 20 side is convex.
  • a technique is disclosed in which stress is canceled by the conductive film 50 formed on the back surface of the substrate 10 (see, for example, Patent Document 3).
  • the stress of the reflective multilayer film 20 is a compressive stress of 400 MPa.
  • the normally used substrate 10 having a thickness of 6.35 mm warps by about 0.6 microns. It is described that.
  • FIG. 12 shows a cross-sectional view of the reflective multilayer film 20 formed on the substrate 10 constituting the EUV mask.
  • the portion where the reflective multilayer film 20 around the pattern area is removed to form the light shielding frame 21 is as shown in FIG. 12B if the stress of the reflective multilayer film 20 can be ignored.
  • the “light shielding frame 22” illustrated in FIG. 12C represents the light shielding frame 21 that has been deformed by releasing the internal stress.
  • the reflective photomask is attracted to a flat electrostatic chuck, but the above-mentioned positional deviation is due to local stress release at the end of the light shielding frame 21, and Even if the back surface is corrected to be flat, the amount of positional deviation in the film surface direction is not reduced.
  • the reflective photomask blanks 501, 502, and 505 include the reflective multilayer film 20 and the absorbing film 30 in this order on one surface of the substrate 10, A conductive film 50 is provided on the other surface of the substrate 10, and a fiducial mark 42 and a position measurement mark 34 are provided on the outer peripheral portion on the one surface side of the reflective photomask blank. Further, the position measurement mark 34 is used to correct the influence due to stress release caused when the light shielding frame 21 is formed.
  • the compressive stress of the reflective multilayer film 20 for each reflective photomask blank can be quantified as a displacement amount of the transfer pattern. For this reason, it is possible to optimally correct the transfer pattern based on the numerical value, and it is possible to provide a reflective photomask that can highly accurately position the transferred image.
  • the reflective photomask blanks 501, 502, and 505 have a reflective multilayer film removal mark in which the absorption film 30 and the reflective multilayer film 20 are partially removed in the vicinity of the position measurement mark 34. 35 may be provided. With such a configuration, it is possible to acquire the positional deviation amount more accurately.
  • each of the absorption film 30 and the reflective multilayer film 20 included in the reflective photomask blanks 501, 502, and 505 according to the present embodiment is disposed at a portion in contact with the reflective multilayer film removal mark 35. You may provide the convex part 350 which has an angle of 90 degree seeing in the thickness direction of a mask blank. With such a configuration, it is effective for correction in the direction perpendicular to the light shielding frame 21 and a sufficient correction value for a portion near the corner of the light shielding frame 21 can be obtained.
  • the position measurement mark 34 included in the reflective photomask blanks 501, 502, and 505 according to the present embodiment is affected by the stress release caused when the light shielding frame 21 is formed. It may be used for correction based on difference information that is the amount of positional deviation before and after the provision of 35. With such a configuration, it is possible to more reliably correct the influence due to stress release.
  • the reflective photomask blanks 501, 502, and 505 according to this embodiment may include the resist film 40 on the absorption film 30. Such a configuration makes it easy to manufacture a reflective photomask.
  • the position of the pattern formed on the reflective photomask blank is determined based on the displacement amount data due to stress release. It is corrected. With such a configuration, it is possible to manufacture a reflective photomask with improved accuracy of the formation position of the mask pattern (absorption pattern).
  • the difference information obtained in a state where the reflective photomask blank is not attracted to the electrostatic chuck, and the exposure apparatus It has a step of acquiring the difference from the difference information obtained when the reflective photomask blank is attracted to the electrostatic chuck, and based on the difference in the difference information, the influence due to stress release is corrected. ing. With such a configuration, it is possible to manufacture a reflective photomask that further increases the accuracy of the formation position of the mask pattern (absorption pattern).
  • the projection magnification is corrected based on the displacement amount data due to stress release. And exposed. With such a configuration, it is possible to perform exposure with improved accuracy of the projected position of the mask pattern in the exposure process.
  • the exposure apparatus including the reflective photomask manufactured using the reflective photomask blanks 501, 502, and 505 according to the present embodiment corrects the projection magnification based on the displacement amount data due to stress release. And a means for exposing. With such a configuration, it is possible to perform exposure with improved positional accuracy of projection of the mask pattern (absorption pattern).
  • the present invention in the manufacture of a semiconductor device or the like using a lithography technique using EUV light, there is little misalignment in the peripheral region of the circuit pattern, and generation of defective products due to poor alignment can be suppressed.
  • Reflective photomask blank 503 ... Reflective photomask 50 Reflective photomask blank 505 Reflective photomask blank 505a Reflective photomask blank 505b Reflective photomask blank 600 Reflective photomask 601 Reflective photo Mask 602 ... reflective photomask

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

 反射型フォトマスクの製造において、パターン領域の周囲にある反射多層膜を除去して遮光枠を形成した場合であっても反射多層膜の圧縮応力に起因する転写パターンの位置ずれ量を低減し、転写される像の位置精度の向上を図ることができる反射型フォトマスクブランクを提供する。本発明の一態様に係る反射型フォトマスクブランク(502)は、基板(10)の一方の面に、反射多層膜(20)と吸収膜(30)とをこの順に重ねて設け、基板(10)の他方の面に導電膜(50)を設けた反射型フォトマスクブランクであって、反射型フォトマスクブランク(502)の外周部に、フィデュシャルマーク(42)と位置計測マーク(34)とを設け、位置計測マーク(34)は、遮光枠を形成した際に生じる応力開放起因による影響を補正するために利用されるものである。

Description

反射型フォトマスクブランク、反射型フォトマスク、反射型フォトマスクの製造方法、露光方法及び露光装置
 本発明は、反射型フォトマスクブランク、反射型フォトマスク、反射型フォトマスクの製造方法、露光方法及び露光装置に関する。
 EUV光を用いた露光に使用する反射型フォトマスクブランクには、基板の上に、EUV光を反射する反射多層膜、パターンを形成する吸収膜、吸収膜を選択的に加工するためのレジスト膜を、この順に重ねて形成されており、このブランク上のレジスト膜をパターニングされて反射型フォトマスクが形成される。
 そして、前述の吸収膜を薄膜化しつつ反射像のコントラストを高めた従来技術としては、例えば、特許文献1から特許文献3に記載されたものや非特許文献1に記載されたものがある。
特開2004-207593号公報 特許第4602430号公報 特開2002-299228号公報
Proceedings of SPIE、 6533巻、 653314 (2007)
 しかしながら、従来技術に係る反射型フォトマスクの製造方法には、パターン領域の周囲にある反射多層膜を除去して遮光枠を形成すると、反射多層膜の圧縮応力に起因して転写パターンの位置がずれる場合があるといった課題がある。
 本発明は、反射型フォトマスクの製造において、パターン領域の周囲にある反射多層膜を除去して遮光枠を形成した場合であっても反射多層膜の圧縮応力に起因する転写パターンの位置ずれ量を低減し、転写される像の位置精度の向上を図ることができる反射型フォトマスクブランクを提供することを目的とする。
 上記の課題を解決するための手段として、本発明の一態様は、基板の一方の面に、反射多層膜と吸収膜とをこの順に重ねて設け、前記基板の他方の面に導電膜を設けた反射型フォトマスクブランクであって、前記反射型フォトマスクブランクの前記一方の面側の外周部に、フィデュシャルマークと、前記フィデュシャルマークとの位置関係を計測するための位置計測マークとを設け、前記位置計測マークは、遮光枠を形成した際に生じる応力開放起因による影響を補正するために利用されるものであることを特徴とする反射型フォトマスクブランクである。
 本発明の一態様に係る反射型フォトマスクブランクであれば、反射型フォトマスクの製造において、パターン領域の周囲にある反射多層膜を除去して遮光枠を形成した場合であっても反射多層膜の圧縮応力に起因する転写パターンの位置ずれ量を低減し、転写される像の位置精度の向上を図ることができる。より詳しくは、本発明の一態様に係る反射型フォトマスクブランクであれば、反射型フォトマスクブランク毎の反射多層膜の圧縮応力を、転写パターンの位置ずれ量として、数値化することができる。そして、その数値により、パターンに対し最適な補正を施すこと、または露光装置にフィードフォワードすることができるので、転写される像の位置を高精度に制御可能な反射型フォトマスクブランクを提供することができる。
本発明の第1及び第2実施形態に係る反射型フォトマスクブランクの構造を模式的に示した断面図である。 本発明の第1及び第2実施形態に係る反射型フォトマスクの構造を模式的に示した断面図である。 反射型フォトマスクの基板上における位置を表す座標軸と、反射型フォトマスクに備わる遮光枠の位置を説明するための図である。 反射多層膜の応力によるX軸方向のずれ量を計算した結果を示す図である。 遮光枠の有無によるX軸方向のずれ量の差を計算した結果を示す図である。 遮光枠の有無によるX軸方向のずれ量の差を、遮光枠の内側端部近傍に限定して計算した結果を示す図である。 本発明の第1及び第2実施形態に係る反射型フォトマスクブランク及び反射型フォトマスクの製造方法を示した製造工程図である。 本発明の第1及び第2実施形態に係る反射型フォトマスクブランク及び反射型フォトマスクの製造方法の変形例を示した製造工程図である。 本発明の第2実施形態に係る反射型フォトマスクブランクの一部分を模式的に示した平面図である。 本発明の第2実施形態に係る反射型フォトマスクブランクに備わる反射多層膜の保持状態によるX軸方向のずれ量の違いを計算した結果を示す図である。 一般的な反射型フォトマスクブランクの構造を模式的に示した断面図である。 反射多層膜の一部を除去して遮光枠を形成したときの応力による遮光枠の変形を説明するための図である。
 以下の詳細な説明では、本発明の実施形態の完全な理解を提供するように多くの特定の細部について記載される。しかしながら、かかる特定の細部がなくても1つ以上の実施形態が実施できることは明らかであろう。他にも、図面を簡潔にするために、周知の構造及び装置が略図で示されている。
(第1実施形態)
 以下、本発明の第1実施形態を、図1から図8を参照しつつ詳細に説明する。なお、以下に示す各図面において、同一の材料で形成され同一の機能を有する部分には同一の符号を付している。
<反射型フォトマスクブランク502及び反射型フォトマスク600の構造>
 図1は、本発明の第1実施形態に係る反射型フォトマスクブランク502の構造を模式的に示す断面図である。図1に示すように、反射型フォトマスクブランク502は、基板10と、基板10の一方の面である表面上に積層形成した、EUV光を反射する反射多層膜20と、パターンを形成する吸収膜30と、吸収膜30を選択的に加工するためのレジスト膜40とを備えている。また、反射型フォトマスクブランク502は、基板10の他方の面である裏面に形成した、静電チャックを使用するための導電膜50を備えている。さらに、反射型フォトマスクブランク502は、その外周部に形成された、フィデュシャルマーク42と、フィデュシャルマーク42との位置関係を計測するための位置計測マーク34と、位置計測マーク34の近傍に、反射多層膜20と吸収膜30とを部分的に除去して形成した位置ずれ検査用反射多層膜除去マーク(以下、単に「反射多層膜除去マーク」とも称する)35を備えている。ここで、「位置計測マーク34の近傍」とは、位置計測マーク34に近い位置を意味するものである。例えば、反射多層膜除去マーク35は、図1に示すように、位置計測マーク34とフィデュシャルマーク42との間であって位置計測マーク34側に位置してもよいし、位置計測マーク34の外周部であって位置計測マーク34側に位置してもよい。つまり、位置計測マーク34と反射多層膜除去マーク35とは、互いに近接して設けられていればよい。
 図2は、本発明の第1実施形態に係る反射型フォトマスクの構造を模式的に示した断面図である。つまり、図2は、反射多層膜20の圧縮応力データに基づき、最適な補正が施されたパターンにより製造された反射型フォトマスク600の構造を模式的に示した断面図である。図2に示すように、反射型フォトマスク600は、基板10、反射多層膜20、吸収膜30、位置計測マーク34、反射多層膜除去マーク35と、フィデュシャルマーク42、導電膜50を備えるとともに、遮光枠21と、マスクパターン36とをさらに備えている。なお、図2には、反射多層膜除去マーク35側に形成された遮光枠21と、フィデュシャルマーク42側に形成された遮光枠21との間に形成されたマスクパターン36が示されている。
 以下、反射型フォトマスクブランク502及び反射型フォトマスク600を構成する各部分の詳細について説明する。
 基板10としては、例えば、酸化チタンを含む酸化ケイ素からなる超低熱膨張ガラス(Low Thermal Expansion Material、以下、「LTEM」とも称する)が用いられる。この基板10の一方の面である表面には、反射多層膜20が形成されている。
 反射多層膜20は、EUV光を反射する多層膜である。この反射多層膜20は、例えば、光の波長が13.5nmの場合には、ケイ素とモリブデンをおおよそ4nm、3nmの膜厚で、それらを交互に40層から50層積層させたものである。
 反射多層膜20の表面には、その表面を保護するための膜(図示せず)が形成されていることが多い。この反射多層膜20の表面を保護するための膜は、一般に、キャッピング層とも称されている。
 反射多層膜20上には、吸収膜30が形成されている。
 吸収膜30は、EUV光を吸収する性質の物質で形成された膜である。この吸収膜30は、例えば、タンタルを主成分として形成された膜である。なお、吸収膜30は、例えば、パターン欠陥検査の感度を高める等の目的で、多層構造となっている場合もある。
 EUVリソグラフィにおいては、露光光の光軸を、反射型フォトマスクの基板10に対して垂直ではなくやや斜め、例えば主光線が6°程度傾斜した方向から入射することがある。このため、パターンの輪郭線が入射面となす角度によって影のできる量が異なる。このような影響は、転写パターンの形状や寸法に影響を及ぼすため、反射型フォトマスクのパターン上で補正しておく必要がある。ここで、一般に、吸収膜30の膜厚が厚いと、この補正量が大きくなる。このため、この観点からは吸収膜30の膜厚は、可能な限り薄いことが好ましい。
 一方、吸収膜30の膜厚を薄くすると、転写像のコントラストが低下する場合がある。この場合には、従来のフォトマスクにおけるハーフトーンマスクと同様に、吸収膜30の表面で反射した光と、反射多層膜20の表面で反射した光との位相を180°ずらすことで、ウェハ面上で良好なコントラストを得ることができる。
 ところが、吸収膜30の膜厚を薄くすると、マスクパターン36が形成された領域であるパターン領域の外側に入射した光がウェハ面上で隣接するチップ領域に到達してしまう場合がある。なお、このパターン領域の外側は、露光装置に装着されたレチクルマスクブレードなどと呼ばれる部材によってマスキングされるが、完全なマスキングは困難である。
 そこで、反射型フォトマスクに備わるパターン領域の周辺では露光光の反射率を低くしたEUVマスクが提案されている。
 しかしながら、パターン領域以外の全面について反射多層膜20を除去した構造のEUVマスクでは、パターン領域の周辺に配置されるアライメントマーク等の形成が困難となる。
 そこで、パターン領域を囲うように枠状に低反射領域を配置したEUVマスクも提案されている。なお、この枠状に設けられた低反射領域は、一般に、遮光枠または遮光帯などと呼ばれている。
 遮光枠の形態としては、反射多層膜20を除去してEUV光の反射率を低下させるものと、遮光枠の部分にさらに厚く遮光材を積層したものが代表的であるが、製造工程での欠陥発生を防止する点から考えると前者の方が有利である。
 反射多層膜20を枠状にエッチング除去して遮光枠21を形成すると、前述のように反射多層膜20が途切れる端面のところで圧縮応力が開放される。例えば、基板10として用いるLTEMと反射多層膜20との弾性係数を比較すると、反射多層膜20の方が数倍大きい。このため、遮光枠21よりも基板10の内側では基板10の中心から外側に向かって、また、遮光枠21よりも基板10の外側では基板10の中心がある内側に向かって、反射多層膜20がそれぞれ伸びる。このため、この伸びに起因する反射多層膜20の変形は、反射多層膜20が伸びる力とLTEM及びその他の膜が反発する力とがつりあうところで止まることになる。
 このような変形は、構造解析シミュレーションにより計算することができる。基板10として用いるLTEMや反射多層膜20についての物性値は、例えば、非特許文献1等に開示されているものを用いることができる。また、例えば、ナノインデンテーションのような手法を用いて計測することもできる。
 また、反射多層膜20の応力については、反射多層膜20を形成する前後における基板10の表面の平坦度を計測し、応力によって生じたたわみ量から計測することができる。例えば、曲率半径と応力の関係を表わすストーニーの式と呼ばれる式を適用しても良いし、より精密には、有限要素法等による構造解析プログラムによる計算結果とのフィッティングによって求めてもよい。
 このようにして6インチ角の基板表面の中心を原点として、図3に示すような直交座標系で、基板中心の位置が変わらないという境界条件のもとに、反射多層膜20表面におけるX軸上の各点についてX軸方向の変位量を計算した結果を図4に示す。ここで、遮光枠21は、基板10の中心からX軸方向に52mmから54mmの2mm幅で形成したものである。
 基板10の中心から端部に向かって直線的に変位量が大きくなっているのは、反射多層膜20の圧縮応力によって基板10全体が凸状にたわんでいるためである。遮光枠21を備えない状態で同様にX軸方向の変位量を計算した結果を、図4に示した結果から差し引いたものを図5に示す。つまり、図5は、遮光枠21の有無によるX軸方向の変位量の差を表わしている。
 遮光枠21の内側では、遮光枠21の端部に近くなるにしたがって外側への変位量が急激に大きくなる。また、遮光枠21の外側では、ほぼ同じ変位量だけ内側に変位する。なお、遮光枠21の外側では、全体的に変位量が負になっているが、これは遮光枠21を形成したことにより基板表面全体が外側に引き伸ばされる力が緩和されたことによると理解することができる。
 この負側へのオフセット量は、材料が同じであれば、膜の応力と遮光枠21の幅とに相関がある。より詳しくは、膜の応力が大きいほど、また遮光枠21の幅が大きいほど、そのオフセット量は大きくなる。
 図5に示した計算結果について、遮光枠21の内側端部近傍に限定して計算した結果を、図6に示す。なお、変位量の最大値が図5に示した値よりも小さいのは、計算条件がやや異なることによる。
 図6に示すように、X軸方向の変位量は、全体として遮光枠21の端部に近づくほど大きくなり、遮光枠21の端部から0.1μm程度離れたところにそのピークがある。これは、反射多層膜20上に形成された吸収膜30は内部応力が小さいため、遮光枠21の内側の上端を内側に引っ張るような力が作用するためと理解することができる。
 図6に示した計算結果は、実際に遮光枠21近傍に配置したパターンの位置を計測した結果をよく再現しており、吸収膜30の物性値を適正に調整すれば十分な信頼性が得られる。
 このような計算を、例えば、有限要素法による構造解析プログラムを用いて実施するには、初期値として膜の応力を入力する必要がある。膜の応力は、例えば、反射多層膜20を形成する前後で表面形状を測定し、たわみ量から計算することができる。また、基板10の熱膨張係数は極めて小さいことから、温度変化に伴う応力を考慮して反射多層膜20の膜厚方向の応力分布を入力条件に加えてもよい。
 より正確には、膜の応力を各種設定して計算し、遮光枠21端部の各位置における変位量をデータベースとして蓄積しておくことができる。このようなデータベースと照合することによってより正確に応力を取得することができる。
 したがって、パターン形成には使用しない遮光枠21の外側領域に形成された吸収膜30に位置計測マーク34を形成し、そのごく近傍の領域の反射多層膜20をエッチング除去して反射多層膜除去マーク35を形成した後に、その位置変化量を計測すれば膜の応力情報を抽出することができる。さらに、その情報を用いて、遮光枠21の内側における位置ずれに関する情報をシミュレーション計算によって取得することができる。また、上記データベースと照合した時点で、遮光枠21の内側における位置ずれの情報を出力できるようにデータベースを構築しておいてもよい。
 また、実際に遮光枠21を形成する位置以外の位置であっても、遮光枠21をエッチングすることによる応力の開放で生ずる位置ずれは、反射多層膜20の内部応力の面内均一性が十分に良好であるか、あるいは面内分布が十分な精度で把握されていれば、上記のようなシミュレーションによってフィッティングが可能である。さらには、実際に遮光枠21を形成した位置での設計値からのずれ量も計算できることになる。
<反射型フォトマスクブランク502及び反射型フォトマスク600の製造方法>
 以下、本発明の第1実施形態に係る反射型フォトマスクブランク502及び反射型フォトマスク600の製造方法の一例を、図7を参照しつつ説明する。なお、反射型フォトマスク600は、前述のように、遮光枠21の外側領域に形成された位置計測マーク34と、フィデュシャルマーク42との相対位置情報を具備するものである。また、フィデュシャルマーク42は、その目的から考えて反射多層膜20の欠陥検査の際には既に形成されているのが当然である。また、後述する各工程の間には、例えば、公知の洗浄工程や熱処理工程を適宜組み込んでも良いし、可能であれば、製造工程の順序を入れ替えても差し支えない。
 まず、図7(a)に示すように、表面が十分に平坦なLTEMを基板10として用意する。
 次に、図7(b)に示すように、基板10の一方の平面である表面に反射多層膜20を形成し、また、基板10の他方の平面である裏面に導電膜50を形成する。ここで、図7(b)には表示していないが、例えば、反射多層膜20と基板10との間になんらかの目的で薄膜が形成されていてもよい。
 次に、図7(c)に示すように、反射多層膜20上にレジスト膜400を塗布する。
 次に、図7(d)に示すように、公知のリソグラフィ技術を用いて露光、現像して、レジスト膜400にフィデュシャルマーク42を形成するためのレジストパターン41を形成する。
 次に、図7(e)に示すように、レジストパターン41が形成されたレジスト膜400をマスクにして、反射多層膜20の一部あるいは全部を反射多層膜20の膜厚方向にエッチング除去して、フィデュシャルマーク42を形成する。その後、レジスト膜400を除去する。その後、図7(f)に示すように、反射多層膜20の欠陥検査を実施して、そのフィデュシャルマーク42との相対位置情報を得る。
 次に、図7(g)に示すように、反射多層膜20上に吸収膜30を形成する。吸収膜30を形成すると、フィデュシャルマーク42が隠れてしまう。そこで、図7(h)に示すように、フィデュシャルマーク42と重なる領域(部分)にある吸収膜30を除去するために、まずレジスト膜401を塗布する。次に、図7(i)に示すように、リソグラフィ工程により、フィデュシャルマーク42と重なる領域(部分)にある吸収膜30を除去するためのレジストパターン43をレジスト膜401に形成する。
 本製造工程では、例えば、このリソグラフィ工程において、図7(j)に示すように、レジストパターン43のほかに位置計測マーク34を形成するためのレジストパターン44と反射多層膜除去マーク35を形成するためのレジストパターン45とを連続して露光する。こうすることで、図7(k)に示すように、フィデュシャルマーク42を露出させるために吸収膜30をエッチングすると同時に、位置計測マーク34及び反射多層膜除去マーク35を形成することができる。つまり、図7(i)と図7(j)には、フィデュシャルマーク42と、位置計測マーク34及び反射多層膜除去マーク35とを別々に形成する様子が示されているが、本製造工程では、一度の現像工程(リソグラフィ工程)で各マークを同時に形成することもできる。
 この時点では、反射多層膜欠陥の位置がパターンの位置調整やパターン修正で可能なように配置するための最良の方向が未知である。このため、全体が長方形のデバイスパターンに対してその周囲に長方形の遮光枠21を設けることを考慮すると、反射型フォトマスクの基板をどの向きに回転しても転写領域外の反射型フォトマスク周辺部に多様な目的で配置されるパターンと干渉しない位置に位置計測マーク34と反射多層膜除去マーク35とを配置する必要がある。
 フィデュシャルマーク42を露出させるためのレジストパターン43と位置計測マーク34では要求精度が異なる。このため、上記とは異なりフィデュシャルマーク42を露出させるためのレジストパターン43と位置計測マーク34とは、別の露光装置を用いた工程としてもよい。
 本製造工程では、引き続いて、レジストパターン44の近傍に配置した反射多層膜除去マーク35を形成するためのレジストパターン45の領域にある反射多層膜20を、図7(l)から図7(o)に示すように、エッチング除去する。以下、図7(l)から図7(o)に示す各工程の詳細について、説明する。
 図7(k)で説明した工程後に、図7(l)に示すように、レジスト膜402を形成する。
 次に、図7(m)に示すように、レジストパターン45のみを備えるようにレジスト膜402を露光して現像する。
 次に、図7(n)に示すように、レジストパターン45のみを備えたレジスト膜402をマスクにして吸収膜30をエッチングする。通常は、このエッチングの際には、反射多層膜20に対して選択比の高い条件を用いるので、吸収膜30表面にエッチング反応面が到達した時点でエッチング速度が低下してしまう。
 次に、反射多層膜20を除去して遮光枠21を形成するのと同様の条件でエッチングを行う。また、反射多層膜20の表面にキャッピング層(図示せず)を設けた場合は、必要に応じてキャッピング層をエッチングする工程を追加してもよい。その後、レジストを除去して、図7(o)に示すように、レジストが塗布されていない反射型フォトマスクブランク501を得る。つまり、図7(n)は反射多層膜20までエッチングした状態を示し、図7(o)はレジスト膜402を除去した状態を示す。
 反射多層膜20をエッチング除去して形成する反射多層膜除去マーク35の形状は、例えば、一辺が1mmから3mmの正方形または長方形である。反射多層膜20を除去するパターンの大きさが小さすぎると、位置ずれ量が隣接あるいは対向する辺が近いために複雑な挙動となり、補正精度が不十分になるおそれがある。逆に大きすぎると、その開口によって他のパターンの位置精度に影響を及ぼす恐れがある。
 また、位置計測マーク34は、反射多層膜20の除去領域における端部(つまり、反射多層膜除去マーク35)からの距離が0.1μmから1mmの範囲にわたって形成されていることが好ましい。
 これは、図6に示したように、反射多層膜20の除去領域における端部のごく近傍に位置ずれ量(変位量)の絶対値が最大となる点があり、この範囲を正確に把握するためである。この最大点は、反射多層膜20の除去領域における端部から概ね0.5μmから20μm程度の位置にあり、そこから離れるにしたがって徐々に位置ずれ量は小さくなる。このため、位置計測装置の測定誤差を考慮すると、上記端部から1mm程度離れた部分まで計測すれば、応力開放による位置ずれの情報を十分に得ることができる。
 したがって、図7(k)に示した500の状態と、図7(o)に示した501の状態について、位置計測マーク34の位置を計測、比較することによって、その基板10に形成された反射多層膜20の応力の影響に基づく位置ずれ量を把握することができる。これは、反射多層膜除去マーク35と位置計測マーク34との距離に応じて近似関数を得ても良いし、前述した構造解析プログラムを使用したモデルとのフィッティングによって、反射多層膜20の除去領域における端部からの位置に応じた位置ずれ量のデータを得てもよい。
 このようにして得たパターンずれ量を、相殺するように反射型フォトマスクパターンデータに公知の技術を用いて補正を加えることができる。
 こうして、図7(o)に示すように、フィデュシャルマーク42並びに位置補正情報を具備した反射型フォトマスクブランク501を得る。また、図7(p)に示すように、反射型フォトマスクブランク501にマスクパターン36を描画するために使用するレジスト膜403が塗布された状態も、本発明の実施形態に係る反射型フォトマスクブランクに含まれるものである。
 次に、図7(q)~図7(s)に示すように、上記補正を施したパターンを吸収膜30上に形成したレジスト膜403上に描画し、現像し、エッチングし、レジスト除去することにより、マスクパターン36を形成する。こうして、図7(s)に示すように、遮光枠21を具備しない反射型フォトマスク503を製造する。この時点で、図7(t)に示すように、パターン欠陥検査を行い、必要に応じてパターン欠陥の修正を実施する。
 さらに、図7(u)に示すように、反射型フォトマスク503上にレジスト膜404を形成する。
 次に、図7(v)に示すように、遮光枠21を形成するためのレジストパターン46を形成する。その後、図7(w)及び図7(x)に示すように、吸収膜30及び反射多層膜20をエッチング除去する。ここで、吸収膜30のエッチング条件は、反射多層膜20に損傷を与えない条件を選択することが重要である。このため、吸収膜30と反射多層膜20のエッチングは、複数の条件を組み合わせることになるのが一般的である。なお、仮に、吸収膜30と反射多層膜20の両方に対してエッチングできる条件を選択することができるならば、吸収膜30と反射多層膜20を連続的にエッチングすることも可能である。
 その後、残留したレジスト膜404を除去して洗浄することにより、図7(y)に示すように、遮光枠21を具備した反射型フォトマスク600を製造する。
 上記の工程を経ることで、遮光枠21を反射多層膜20の除去によって形成しても遮光枠21の近傍における位置精度の低下を抑制した高精度の反射型フォトマスク600を得ることができる。
(変形例)
 以下、第1実施形態の変形例について、図8を参照しつつ説明する。
<反射型フォトマスク601の製造方法>
 以下、本発明の第1実施形態の変形例に係る反射型フォトマスク601の製造方法の一例について、説明する。この製造方法は、図7(i)に示した工程までは、第1実施形態で説明した製造工程と同じである。よって、図7(i)に示した工程以降の工程を、図8を用いて説明する。
 図8(i)は、図7(i)と同じ状態を示している。この状態にある吸収膜30及び反射多層膜20を、図8(j)に示すように、エッチングする。その後、レジスト膜401を除去する。こうして、図8(k)に示すように、フィデュシャルマーク42を具備した一般的な反射型フォトマスクブランク504を製造する。
 本変形例に係る製造方法では、実際に形成した遮光枠21を用いて位置ずれ情報を得ることで、第1実施形態で説明した製造工程に比べて製造工程を短縮できる。すなわち、まず、図8(l)に示すように、反射型フォトマスクブランク504上にレジスト膜405を塗布する。その後、図8(m)に示すように、レジスト膜405に、遮光枠21を形成するためのレジストパターン46と、位置計測マーク34を形成するためのレジストパターン44を形成する。
 このレジストパターン44、46を備えたレジスト膜405をエッチングマスクとして、図8(n)に示すように、吸収膜30をエッチングする。その後、図8(o)に示すよう、レジスト膜405を除去して、位置計測マーク34の位置をフィデュシャルマーク42に対する相対位置として記録する。その後、図8(p)に示すように、エッチングされた吸収膜30上に再度レジスト膜を塗布する。
 次に、図8(q)に示すように、そのレジスト膜に、遮光枠21を形成するためのレジストパターンを形成する。
 次に、図8(r)に示すように、レジスト膜をベークする。
 次に、図8(s)に示すように、反射多層膜20上に形成されたキャッピング層(図示せず)をエッチング除去する。
 次に、図8(t)に示すように、遮光枠21を形成する領域にある反射多層膜20をエッチング除去する。こうして、遮光枠21を形成する。その後、図8(u)に示すように、レジスト膜を除去する。
 ここで、位置計測マーク34の位置を再度計測する。図8(o)と図8(u)の位置ずれ量を比較することにより、遮光枠21の形成による位置ずれ量に関する情報を抽出することができる。この結果から、反射多層膜20の応力に関する情報を得ることができ、遮光枠21の内側に形成するマスクパターン36に対する補正量を決定することができる。この補正量には、基板10を静電チャックに吸着したときの基板10の変形によるマスクパターン36の位置ずれ量を含めると、さらに高精度な補正ができる。
 上記補正をパターン描画データに施して、遮光枠21の内側にマスクパターン36を、第1実施形態で説明した工程と同様にして形成する。その後、レジスト膜を除去して、図8(y)に示すように、第1実施形態の変形例に係る反射型フォトマスク601を製造する。
 なお、図8(w)に示す工程においては、遮光枠21が反射多層膜20を基板10に到達するまで掘り込んで形成したものであると、遮光枠21の内側は電気的に接地されていない状態になり、電子線描画装置でパターンを形成する際に帯電による位置ずれを引き起こす可能性がある。
 そのような位置ずれは、図8(t)に示す工程において、反射多層膜20を一部残してエッチングを終了するか、もしくは図には示さないが、反射多層膜20と基板10との間に導電性の膜を挿入しておいてもよい。また、図8(v)に示す工程において形成するレジスト膜の表面に、導電膜をコーティングするのも有効である。
 上記製造工程においては記述を省略したが、例えば、各工程の間には必要に応じて公知の技術を用いた基板洗浄工程を加えてもよい。
<補正方法>
 以下、上記のように遮光枠21の形成によって生ずるパターン位置ずれを露光装置にて補正する方法について説明する。
 図5に示すように、反射多層膜20の応力開放によるパターン位置ずれが反射型フォトマスク上で1nm未満になるのは、遮光枠21の端部から400nmとかなり長い裾を引く曲線となっている。したがって、マスクパターン36の配置を遮光枠21から離せば、このような位置ずれの影響は実質受けない。しかしながら、遮光枠21を設けるのはなるべく広い領域までパターン領域として使うためであって、パターン領域を狭くするのは解決方法として最良とは言えない。
 そこで、上記した実施形態から求めた遮光枠21の端部からの距離と位置ずれとの関係から逆算して、得られた応力の情報をもとにアライメントマークの位置ずれ量を得ることが可能である。この情報を用いてアライメントと同時に倍率補正量の最適値も求めることができる。
 半導体デバイス等のようにリソグラフィ工程を用いて複数多層構造を製造する場合、既に形成した微細構造に対して精密に位置合わせを行なう必要がある。遮光枠21の内側に配置されるパターン領域の周辺部には、既に形成した部分との位置合わせの目的で使用されるアライメントマークと呼ばれるマークを配置することが一般的に行われている。
 このアライメントマークが反射多層膜20の形成により位置ずれを生じる領域にあると、アライメントマーク位置が適正であったとしても、パターン領域全域にわたって正確に位置合わせができていることが保証できなくなる。
 例えば、パターン領域両端に配置されたアライメントマーク位置が適正であっても、基板中心から外側に向かって位置ずれを生じたアライメントマーク位置が合っている状態では、パターン領域の端部以外は設計より基板中心に向かって内側に位置ずれを生じることになり、メインパターンの縮小倍率が最適値からずれてしまうことになる。
(第1実施例)
 本実施例では、超低熱膨張ガラスで形成された基板10としてULE(登録商標、コーニング社製)を用い、その表面を平坦に研磨処理した後、主平面の片側に反射多層膜20としてモリブデンとケイ素とを交互に積層形成し、基板10の裏面に導電膜50として窒化クロムを主成分とする膜を形成した基板を用意した。
 次に、反射多層膜20の上にフィデュシャルマーク42を公知のリソグラフィ技術を用いて形成し、反射多層膜20表面の欠陥検査を実施した。検出した座標は、フィデュシャルマーク42との相対位置として記録した。
 次に、反射多層膜20の上にタンタルを主成分とする吸収膜30を形成した。フィデュシャルマーク42の視認性が良好になるようにフィデュシャルマーク42部分が露出したレジストパターン43とともに、反射型フォトマスク周辺部の空き領域に、反射多層膜除去マーク35を形成するためのレジストパターン45と、位置計測マーク34を形成するためのレジストパターン44とを含むレジスト膜401を形成した。
 上記レジストパターンを反射型フォトマスクとしてフィデュシャルマーク42が露出して視認しやすい状態にし、さらに位置計測マーク34、反射多層膜除去マーク35の各領域も反射多層膜20の表面が露出した状態とした。
 この状態で位置計測マーク34の位置をパターン位置計測装置LMS IPRO(VISTEC社製)にて計測した。
 次に、レジストパターン45のみが露出したレジスト膜402をマスクにして、反射多層膜20をエッチング除去した。
 この状態で位置計測マーク34の位置を前述と同様にして計測し、反射多層膜20の除去前後の位置変化量を得た。位置計測マーク34の位置は、反射多層膜20の除去領域からの距離が2μmから1mmの間にあり、それぞれの計測結果から図5及び図6と同様の結果を得た。
 反射多層膜20における除去領域の端部からの距離と位置ずれ量の関係を多項式近似し、これをもとに反射型フォトマスクパターンデータのパターン描画位置に対して補正を施した。
 次に、レジスト膜403を塗布し、上記補正を施したマスクパターン36を電子線描画により形成し、マスクパターン36のエッチング、レジスト除去の各工程を経て、反射型フォトマスク503を作製した。
 引き続いて、遮光枠21を形成するためのレジストパターン46を設けるためにレジスト膜406を塗布し、レジストパターン46をレーザ描画装置により露光し、現像し、エッチングし、レジスト除去して、遮光枠21を具備した反射型フォトマスク600を得た。
 このようにして得られた反射型フォトマスク600の位置精度を計測したところ、遮光枠21のごく近傍においても設計値との差は許容範囲内であり、静電チャックに吸着した状態について構造解析プログラムを用いた計算により予測しても、十分許容範囲内であることを確認した。
(第2実施形態)
 以下、本発明の第2実施形態を、図面を参照しつつ詳細に説明する。
<反射型フォトマスクブランク505の構造>
 図1は、本発明の第2実施形態に係る反射型フォトマスクブランク505の構造を模式的に示した断面図である。本実施形態に係る反射型フォトマスクブランク505と、第1実施形態に係る反射型フォトマスクブランク502とでは、断面における構造は同じである。そこで、本実施形態では、反射型フォトマスクブランク505の断面構造については、その説明を省略する。
 図9は、本発明の第2実施形態に係る反射型フォトマスクブランクの一部分を模式的に示した平面図であって、フィデュシャルマーク42との位置関係を計測するための位置計測マーク34と、その近傍に反射多層膜20と吸収膜30を部分的に除去した反射多層膜除去マーク35を上面から見た概念図である。なお、説明を容易にするために、図9ではレジスト膜40の記載は省略してある。
 位置計測マーク34の最適な大きさは反射多層膜除去マーク35と比較して非常に小さいが、図9では、その説明のために大きく描いてある。また、図9に示した位置計測マーク34の配置位置や形状は、その一例を示したものであり、本発明の適用条件を制限するものではない。
 また、図9に示すように、反射多層膜除去マーク35は、内側に90゜の凸部350を少なくとも1箇所有する。90°の凸部350は、図9(a)に示すように、反射多層膜除去マーク35の外辺に接して配置されていてもよいし、図9(b)に示すように、反射多層膜除去マーク35の内側にあって、外辺に接しない配置であってもよい。換言すると、反射多層膜除去マーク35の形状は、図9(a)に示すように、平面視で直角に折れ曲がったL字形をしていてもよいし、図9(b)に示すように、平面視で四角形をした枠形状をしていてもよい。つまり、反射型フォトマスクブランク502の吸収膜30と反射多層膜20のそれぞれは、反射多層膜除去マーク35と接する部分に、反射型フォトマスクブランク502の厚さ方向に見て90度の角度を有する角部である凸部350を備えていればよい。
 図9(a)では、一定の幅を有する線状のパターンを90°曲げることによって、遮光枠21の内側に配置された角の部分(凸部350)の近傍と等価のマークを、反射多層膜除去マーク35として形成している。なお、ここで「等価」とは、反射多層膜除去マーク35と遮光枠21の角部とが同じ形状であることを意味する。本実施形態に係る反射型フォトマスクブランク505では、遮光枠21の内側に配置された角の部分の位置補正精度を向上させるために、凸部350のように角の部分と同じ形状に反射多層膜20を除去して、位置計測マーク34の位置ずれを計測することで補正量に関する情報を得る。
 また、図9(b)では、矩形状のパターンの中に、さらに小さな位置計測マーク34を有する矩形状パターンを設け、両パターンの間を反射多層膜除去マーク35としてその角部を、遮光枠21の内側の角の部分の近傍と等価のマークを形成している。
 いずれの場合でも反射多層膜除去マーク35は、吸収膜30と反射多層膜20を除去して形成される遮光枠21の、垂直パターンと水平パターンとが交わる部位の角部と、等価となる部位を有する。したがって、吸収膜30と反射多層膜20とを除去して形成される遮光枠21の角部内側と等価な形状を含むことになる。
 図9に示すような位置計測マーク34は、図1には、2つの溝部からなる位置計測マーク34として1箇所だけ描いてあるが、反射型フォトマスクブランク502の外周部で他の用途に割り当てられていない部分に複数配置してもよい。
 図2は、本発明の第2実施形態に係る反射型フォトマスクの構造を模式的に示した断面図であって、反射多層膜20の圧縮応力データに基づき、最適な補正が施されたパターンにより製造された反射型フォトマスク602を示した断面図である。本実施形態に係る反射型フォトマスク602と、第1実施形態に係る反射型フォトマスク600とでは、断面における構造は同じである。そこで、本実施形態では、反射型フォトマスク602の断面構造については、その説明を省略する。
 なお、本実施形態に係る反射型フォトマスク602においては、位置計測マーク34の基準をフィデュシャルマーク42としているが、位置計測マーク34を形成すると同時に吸収膜30に基準パターンを形成することによっても同等の評価ができる。
 第1実施形態と同様に、図5及び図6に示したX方向の変位量の計算においては、断面構造をモデルとした二次元の計算により精度良く求めることができ、実際の計測値との整合性も良い。しかしながら、遮光枠21の四隅の近傍においては二次元と近似するのでは十分な精度が得られないことがある。
 そこで、本実施形態では、反射多層膜除去マーク35に遮光枠21の内側の角の部分の近傍と等価と考えられるような、内側に90゜の凸形状を含み、その近傍に位置計測マーク34を配置することによって、シミュレーション計算との整合性を最適化し、データベースを構築することでパターン領域全域の位置精度の向上ができる。
 また、パターン位置は、マスクの保持状態の影響を受ける。パターン位置計測装置においては、マスク基板裏面の端部付近の3点で支持するのが典型的である。マスク基板の重力によるたわみで生じるパターン位置の変化は、この3点の支持点によって影響を受けるが、これも補正が可能で、市販されている位置計測装置にも補正機能が搭載されている。
 しかし、遮光枠21を形成したことによって重力によるたわみ量が変化することを補正する手順についてはこれまでに開示されていない。本実施形態によれば、マスク基板の保持状態による位置変化を補正することを含むので、さらに高精度な補正が可能となる。
 また、露光装置内で静電チャックに吸着された状態においては重力によるたわみではなく、静電チャックの平坦度と吸着時の摩擦の影響を受ける。反射多層膜20の応力の開放による遮光枠21の端部近傍における局所的な位置変化は、基板10の弾性係数が比較的小さく、かつ厚さが6.35mmと大きいために、基板10の変形によってほとんど吸収されるために、静電チャックへの吸着前後で大きな変化は無い。
 しかしながら、図5に示すような遮光枠21の外側で負にオフセットが生じるのは基板10の底面が自由に変形できる場合であり、静電チャックに吸着すると、このオフセット量は小さくなる。この変化量についても上記と同様のシミュレーションによって十分な精度で予測することができる。
 計算結果の一例を図10に示す。図10(a)は、外力を受けない、仮想的に空中に浮いている状態を計算したものである。また、図10(b)は、静電チャックに基板10の裏面が密着するように静電気力を模擬した力を作用させた状態を計算したものである。
 このように基板10の保持状態のちがいによるパターン位置変化を考慮することを含む補正を実施することにより、露光プロセスにおいてパターン位置精度の高い露光を実現できる。
<反射型フォトマスク602の製造方法>
 図7は、本発明の第2実施形態に係る反射型フォトマスクブランク及び反射型フォトマスクの製造方法を示した製造工程図である。また、図8は、本発明の第2実施形態に係る反射型フォトマスクブランク及び反射型フォトマスクの製造方法の変形例を示した製造工程図である。
 図7と図8に示すように、反射型フォトマスク602の製造方法は、第1実施形態に係る反射型フォトマスク600の製造方法と略同じである。そこで、本実施形態では、反射型フォトマスク602の製造方法については、その説明を省略する。
 なお、本実施形態では、図7(m)に示す工程において、反射多層膜20をエッチングする領域である反射多層膜除去マーク35は、図9(a)に示したように、正方形または長方形の一部を矩形に切り欠いた形状、もしくは図9(b)に示したように、正方形または長方形の枠状とする。ここで、反射多層膜除去マーク35を構成する一辺の長さは、1mmから4mmとするのが好ましい。反射多層膜除去マーク35の大きさが小さすぎると、遮光枠21の直線部分とほぼ同じとみなせる領域が十分に取れず、また遮光枠21の角の部分と同等とみなすことができなくなり、位置精度補正に関する情報が十分な精度で得られなくなるおそれがある。これとは逆に、反射多層膜除去マーク35の大きさが大きすぎると、その開口によって他のパターンの位置精度に影響を及ぼすおそれがある。
 本実施形態では、上記位置ずれ量のデータとともに図5に示したように反射多層膜20を除去して形成した遮光枠21の外側での位置ずれ量も抽出することができる。
 このような位置変化量は、基板10の保持状態の影響を受ける。例えば、基板10の端部付近の3点を支持点として保持する場合、遮光枠21の形成前後で基板10の重力によるたわみ量がわずかに変化する。このことから、反射多層膜20を除去して形成した遮光枠21の近傍に形成したパターンの位置精度の検査においては、重力によるたわみ量の変動を考慮した値を基準にすることによって、製品の良否を精度良く判定することができる。
 また、図5に示した計算に対して、さらに基板10を静電チャックに吸着した状態の条件を組み込むことによって、露光装置内に設置された状態でのパターン位置精度を計算することができる。また、露光装置内に設置された状態でのパターンの基板中心に対する位置と、マスクパターン36の位置検査装置でパターンを基板端部付近の3点で支持した場合の、パターンの基板中心に対する位置の差分も計算することができる。
 上記の情報を用いてパターン位置の検査を実施することで、反射型フォトマスク製品のパターン位置精度の良否を精度良く判定することができる。
(第2実施例)
 本実施例では、超低熱膨張ガラスで形成された基板10としてULE(登録商標、コーニング社製)を用い、その表面を平坦に研磨処理した後、主平面の片側に反射多層膜20としてモリブデンとケイ素とを交互に積層形成し、基板10の裏面に導電膜50としてクロムを主成分とする膜を形成した基板を用意した。
 次に、反射多層膜20の上にフィデュシャルマーク42を公知のリソグラフィ技術を用いて形成し、反射多層膜20表面の欠陥検査を実施した。検出した座標は、フィデュシャルマーク42との相対位置として記録した。
 次に、反射多層膜20の上にタンタルを主成分とする吸収膜30を形成した。フィデュシャルマーク42の視認性が良好になるようにフィデュシャルマーク42部分が露出したレジストパターン43とともに、反射型フォトマスク周辺部の空き領域に、反射多層膜除去マーク35を形成するためのレジストパターン45と、位置計測マーク34を形成するためのレジストパターン44とを含むレジスト膜401を形成した。
 上記レジストパターンを反射型フォトマスクとしてフィデュシャルマーク42が露出して視認しやすい状態にし、さらに位置ずれ計測パターン、反射多層膜除去パターン領域も反射多層膜20の表面が露出した状態とした。
 この状態で位置計測マーク34の位置をパターン位置計測装置LMS IPRO(VISTEC社製)にて計測した。
 次に、レジストパターン45のみが露出したレジスト膜402をマスクにして、反射多層膜20をエッチング除去した。
 この状態で位置計測マーク34の位置を前述と同様にして計測し、反射多層膜20の除去前後の位置変化量を得た。位置計測マーク34の位置は、反射多層膜20の除去領域からの距離が1μmから1mmの間にあり、それぞれの計測結果から図5及び図6と同様の結果を得た。
 また、反射多層膜除去マーク35の内側に凸状に設けた角の付近にある位置計測マーク34のX及びY方向のパターンずれ量から、遮光枠21の角からのX及びY方向の距離とずれ量のX及びY方向の成分を抽出した。
 反射多層膜20における除去領域の端部からの距離と位置ずれ量の関係を多項式近似し、これをもとに反射型フォトマスクパターンデータの描画位置に対して補正を施した。
 次に、レジスト膜403を塗布し、上記補正を施したマスクパターン36を電子線描画により形成し、マスクパターン36のエッチング、レジスト除去の各工程を経て、反射型フォトマスクを作製した。
 引き続いて、遮光枠21を形成するためのレジストパターン46を設けるためにレジスト膜406を塗布し、レジストパターン46をレーザ描画装置により露光し、現像し、エッチングし、レジスト除去して、遮光枠21を具備した反射型フォトマスク602を得た。
 このようにして得られた反射型フォトマスク602の位置精度を計測したところ、遮光枠21のごく近傍においても設計値との差は許容範囲内であり、静電チャックに吸着した状態について構造解析プログラムを用いた計算により予測しても、十分許容範囲内であることを確認した。
 このように、第1及び第2実施形態並びにその変形例に係る反射型フォトマスクブランク、反射型フォトマスク、反射型フォトマスクの製造方法、露光方法及び露光装置であれば、前述した本願の課題を解決することができる。ここで、本願の課題について、図面を参照しつつ具体的に説明する。
 半導体集積回路は、性能及び生産性を向上させるために微細化、高集積化が進んでおり、回路パターンを形成するリソグラフィ技術についても、より微細なパターンを高精度に形成するための技術開発が進められている。
 これらの技術の進展に伴い、パターン形成に使用される露光装置の光源についても短波長化が進められ、13.5ナノメートル(nm)の極端紫外光(Extreme Ultra Violet光、以下「EUV光」と称する)を用いた装置及びパターン転写のプロセスが開発されている。
 EUV光の波長において、あらゆる物質の屈折率は1に近い値となり、また吸収も大きい。このため従来用いられてきた193nmの紫外光を用いる場合のように透過型の光学系を用いることができない。そこで、屈折率の異なる複数の材料を用いて、界面での反射が強まるように、多数の層を積層した反射膜を利用した反射光学系が用いられる。
 例えば、デバイスの回路などの転写パターンを形成したフォトマスクについても、多層反射膜を用いることになる(以下、EUV光を用いた露光に使用する反射型フォトマスクを「EUVマスク」とも称する)。パターンを形成する方法としては、反射多層膜自体をパターン加工する方法と、反射多層膜上に吸収パターンを形成する方法とが考えられるが、微細なパターンを高精度で形成する点においては後者の方が有利であり一般的になっている。
 図11は、一般的な反射型フォトマスクブランク100の断面構造を図示したものである。反射型フォトマスクブランク100は、基板10の上にEUV光を反射する反射多層膜20、パターンを形成する吸収膜30、及び吸収膜30を選択的に加工するためのレジスト膜40、また、上記各層を形成した基板10の裏側の面には静電チャックを使用するための導電膜50が形成されている。
 マスク上に形成するパターンの位置精度については、従来のフォトマスクと同様に反射型フォトマスクにおいても非常に精密な制御が必要である。反射型フォトマスクであるEUVマスクは、従来のフォトマスクとは異なり、露光光の光軸は、反射型フォトマスク基板に対して垂直ではなくやや斜めに入射する。この角度は典型的には約6°である。このため、基板10の平坦度も位置精度に影響を及ぼす大きな要因と考えられている。
 このことから、EUVマスク基板及び露光装置内での吸着に用いる静電チャックの両方について、平坦度を向上させる技術開発が進められている。
 一方、上記のように露光光の入射方向がやや斜めであることから、例えば、露光光軸に対して平行なパターンと垂直なパターンでは転写特性が異なるなど、反射型フォトマスクから反射する光には様々な非対称性が生じる。このような影響を小さくするためには、吸収パターンの厚さ(つまり、吸収膜30の厚さ)を薄くするほど有利になることは明らかである。
 しかしながら、吸収パターンを薄くすると反射像のコントラストが低下してしまうため、従来のフォトマスクにおけるハーフトーン型位相シフトマスクのように、吸収パターン部分から反射した微少な光の位相が反射多層膜20から反射した光に対しておおよそ180°ずれるように設計することが考案されている(例えば、特許文献1参照)。
 また、吸収膜30の膜厚を薄くするとともに、それによって転写される露光ブロックに隣接する光が漏れないように回路パターンの周りを囲うように反射率の低い領域を設ける提案がなされ、これを遮光枠と呼んでいる(例えば、特許文献2参照)。
 遮光枠としては、特許文献2にも各種の形成方法が開示されているが、反射多層膜20をエッチング除去する方法が最も有力である。
 また、反射多層膜20は、モリブデンとケイ素をそれぞれ約3nm、約4nmの厚さとして交互に40層から50層積層したものが一般的である。このような反射多層膜20を無欠陥で作製するのは非常に困難であり、また平坦に積層されていない部分を平坦に修正することも事実上不可能である。
 このように、反射多層膜20に欠陥のない完全な反射型フォトマスクブランクを用意するのは極めて難しいため、多少の欠陥であればそれを回避するようにパターン形成位置をずらして欠陥部分を吸収部に重なるようにしたり、吸収パターンの修正によって反射多層膜20の欠陥を補正して正常な転写ができるようにしたりする技術が開発されている。
 上記のように欠陥をよけるように配置するためには基準となるパターンが必要であり、吸収膜30を形成する前に反射多層膜20の欠陥を検査するためのフィデュシャルマークと呼ばれるパターンをあらかじめ形成しておき、反射多層膜20の欠陥の位置をフィデュシャルマークに対する相対位置として記録しておくことが行われる。
 既に述べたようにEUVマスクにおいてはパターン位置精度を高めるために極めて平坦な基板10を用いている。しかしながら、反射多層膜20は、イオンビームスパッタリングで成膜されるが、例えば、特許文献3に示されるように、一般に圧縮方向の内部応力を持っており、反射多層膜20側が凸状になるように基板のそりを生じるが、その対策として、基板10の裏面に形成する導電膜50で応力を相殺する手法が開示されている(例えば、特許文献3参照)。
 また、非特許文献1には、例えば、反射多層膜20の応力は400MPaの圧縮応力であり、この場合、通常用いられている厚さ6.35mmの基板10は約0.6ミクロン程度反ってしまうことが記載されている。
 一方、上記特許文献に示されたように、パターン領域の周りに遮光枠として反射多層膜20をエッチング除去すると、遮光枠の端部で反射多層膜20の応力が開放されることによって位置ずれが生じる。この現象をおおまかに説明したものが図12である。
 図12(a)は、EUVマスクを構成する基板10上に形成された反射多層膜20の断面図を示している。ここで、パターン領域の周囲にある反射多層膜20を除去して遮光枠21を形成した部分は、仮に反射多層膜20の応力が無視できるならば、図12(b)のようになる。しかしながら、反射多層膜20の圧縮応力が大きい場合には、遮光枠21の端部で内部応力が開放されるために、図12(c)のようになる。なお、図12は、この現象を理解しやすいように変形量を誇張してあり、また複雑な変形を単純化して描いている。また、図12(c)に示した「遮光枠22」は、内部応力が開放されて変形した遮光枠21を示している。
 このような変形においては、遮光枠21の端部に近くなるにしたがって、その位置ずれ量は大きくなることが容易に想定できる。このことから、遮光枠21内にあって遮光枠21の端部に近いパターンは、基板10の中心から外側に向かって設計値よりもずれてしまうことになる。
 このようなずれは、複数の層からなる半導体デバイスを順次リソグラフィ工程にて製造する場合に、各層の重ね合わせ誤差による動作不良の原因となってしまう。
 また、直接デバイスパターンではなくても、例えばチップアライメントマークを配置してしまうと、露光装置でアライメント調整しても、設計よりやや縮小されて転写されてしまうことになり、ウェハ面上に転写される像の位置精度が低下してしまう要因となってしまう。
 実際の露光プロセスにおいて、反射型フォトマスクは平坦な静電チャックに吸着されることになるが、上記の位置ずれは遮光枠21の端部における局所的な応力開放によるものであり、基板10の裏面を平坦に矯正したとしても、上記の膜面方向の位置ずれ量は低減されない。
(本実施形態の効果)
(1)上記のように、パターン領域の周囲にある反射多層膜を除去して遮光枠を形成すると、反射多層膜の圧縮応力に起因して転写パターンの位置がずれるおそれがある従来技術に係る反射型フォトマスクブランクに対して、本実施形態に係る反射型フォトマスクブランク501、502、505は、基板10の一方の面に、反射多層膜20と吸収膜30とをこの順に重ねて備え、基板10の他方の面に導電膜50を備え、上記反射型フォトマスクブランクの一方の面側の外周部に、フィデュシャルマーク42と位置計測マーク34とを備えている。また、位置計測マーク34は、遮光枠21を形成した際に生じる応力開放起因による影響を補正するために利用されるものである。
 このような構成であれば、反射型フォトマスクブランク毎の反射多層膜20の圧縮応力を、転写パターンの位置ずれ量として数値化することができる。このため、その数値により、転写パターンに対し最適な補正を施すことができるようになり、転写される像の位置を高精度にできる反射型フォトマスクを提供することができる。
(2)また、本実施形態に係る反射型フォトマスクブランク501、502、505は、位置計測マーク34の近傍に、吸収膜30と反射多層膜20とを部分的に除去した反射多層膜除去マーク35を設けてもよい。
 このような構成であれば、位置ずれ量をより正確に取得することができる。
(3)また、本実施形態に係る反射型フォトマスクブランク501、502、505に含まれる吸収膜30と反射多層膜20のそれぞれは、反射多層膜除去マーク35と接する部分に、上記反射型フォトマスクブランクの厚さ方向に見て90度の角度を有する凸部350を備えてもよい。
 このような構成であれば、遮光枠21に直行する方向に対する補正について有効であってさらに、遮光枠21の角に近い部分の補正値を十分に得ることができる。
(4)また、本実施形態に係る反射型フォトマスクブランク501、502、505に含まれる位置計測マーク34は、遮光枠21を形成した際に生じる応力開放起因による影響を、反射多層膜除去マーク35を設ける前後における位置ずれ量である差分情報に基づいて補正するために利用されるものであってもよい。
 このような構成であれば、応力開放起因による影響をより確実に補正することができる。
(5)また、本実施形態に係る反射型フォトマスクブランク501、502、505は、吸収膜30の上にレジスト膜40を備えてもよい。
 このような構成であれば、反射型フォトマスクの製造が容易になる。
(6)また、本実施形態に係る反射型フォトマスクブランク501、502、505の製造方法では、上記反射型フォトマスクブランクに形成されるパターンの位置を、応力開放起因による変位量データに基づいて補正している。
 このような構成であれば、マスクパターン(吸収パターン)の形成位置の精度を高めた反射型フォトマスクを製造することができる。
(7)また、本実施形態に係る反射型フォトマスクブランク501、502、505の製造方法では、上記反射型フォトマスクブランクを静電チャックに吸着させない状態で得た差分情報と、露光装置内で上記反射型フォトマスクブランクを静電チャックに吸着させた状態で得た差分情報との差を取得する工程を有しており、その差分情報の差に基づいて、応力開放起因による影響を補正している。
 このような構成であれば、マスクパターン(吸収パターン)の形成位置の精度をさらに高めた反射型フォトマスクを製造することができる。
(8)また、本実施形態に係る反射型フォトマスクブランク501、502、505を用いて製造した反射型フォトマスクを使用する露光方法では、応力開放起因による変位量データに基づき、投影倍率を補正して露光している。
 このような構成であれば、露光工程においてマスクパターンの投影される位置の精度を高めた露光ができる。
(9)また、本実施形態に係る反射型フォトマスクブランク501、502、505を用いて製造した反射型フォトマスクを備えた露光装置は、応力開放起因による変位量データに基づき、投影倍率を補正して露光する手段を有している。
 このような構成であれば、マスクパターン(吸収パターン)の投影される位置精度を高めた露光ができる。
 以上で、特定の実施形態を参照して本発明を説明したが、これら説明によって発明を限定することを意図するものではない。本発明の説明を参照することにより、当業者には、開示された実施形態とともに本発明の別の実施形態も明らかである。従って、特許請求の範囲は、本発明の範囲及び要旨に含まれるこれらの変形例または実施形態も網羅すると解すべきである。
 本発明により、EUV光を用いたリソグラフィ技術を用いた半導体デバイス等の製造において、回路パターンの周辺領域においても位置ずれが少なく、アライメント不良による不良品発生を抑制することができる。
10・・・基板
20・・・反射多層膜
21・・・遮光枠
22・・・遮光枠
30・・・吸収膜
34・・・位置計測マーク
35・・・位置ずれ検査用反射多層膜除去マーク
36・・・マスクパターン
40・・・レジスト膜
41・・・レジストパターン
42・・・フィデュシャルマーク
43・・・レジストパターン
44・・・レジストパターン
45・・・レジストパターン
46・・・レジストパターン
50・・・導電膜
100・・・反射型フォトマスクブランク
350・・・凸部
400・・・レジスト膜
401・・・レジスト膜
402・・・レジスト膜
403・・・レジスト膜
404・・・レジスト膜
405・・・レジスト膜
501・・・反射型フォトマスクブランク
502・・・反射型フォトマスクブランク
503・・・反射型フォトマスク
504・・・反射型フォトマスクブランク
505・・・反射型フォトマスクブランク
505a・・・反射型フォトマスクブランク
505b・・・反射型フォトマスクブランク
600・・・反射型フォトマスク
601・・・反射型フォトマスク
602・・・反射型フォトマスク

Claims (10)

  1.  基板の一方の面に、反射多層膜と吸収膜とをこの順に重ねて設け、前記基板の他方の面に導電膜を設けた反射型フォトマスクブランクであって、
     前記反射型フォトマスクブランクの前記一方の面側の外周部に、フィデュシャルマークと、前記フィデュシャルマークとの位置関係を計測するための位置計測マークとを設け、
     前記位置計測マークは、遮光枠を形成した際に生じる応力開放起因による影響を補正するために利用されるものであることを特徴とする反射型フォトマスクブランク。
  2.  前記位置計測マークの近傍に、前記吸収膜と前記反射多層膜とを部分的に除去した除去領域を設けたことを特徴とする請求項1に記載の反射型フォトマスクブランク。
  3.  前記吸収膜と前記反射多層膜のそれぞれは、前記除去領域と接する部分に、前記反射型フォトマスクブランクの厚さ方向に見て90度の角度を有する角部を備えたことを特徴とする請求項2に記載の反射型フォトマスクブランク。
  4.  前記位置計測マークは、遮光枠を形成した際に生じる応力開放起因による影響を、前記除去領域を設ける前後における位置ずれ量である差分情報に基づいて補正するために利用されるものであることを特徴とする請求項2または請求項3に記載の反射型フォトマスクブランク。
  5.  前記吸収膜の上に、レジスト膜を設けたことを特徴とする請求項1から請求項4のいずれか1項に記載の反射型フォトマスクブランク。
  6.  請求項1から請求項5のいずれか1項に記載の反射型フォトマスクブランクを用いた反射型フォトマスクの製造方法であって、
     前記応力開放起因による変位量データに基づき、前記反射型フォトマスクブランクに反射型フォトマスクのパターンを、位置を補正して形成することを特徴とする反射型フォトマスクの製造方法。
  7.  請求項4に記載の反射型フォトマスクブランクを用いた反射型フォトマスクの製造方法であって、
     前記反射型フォトマスクブランクを静電チャックに吸着させない状態で得た前記差分情報と、露光装置内で前記反射型フォトマスクブランクを静電チャックに吸着させた状態で得た前記差分情報との差を取得する工程を有し、
     前記応力開放起因による影響を、取得した前記差分情報の差に基づいて補正することを特徴とする反射型フォトマスクの製造方法。
  8.  請求項6また請求項7に記載の反射型フォトマスクの製造方法を用いて製造したことを特徴とする反射型フォトマスク。
  9.  請求項1から請求項5のいずれか1項に記載の反射型フォトマスクブランクを用いて製造した反射型フォトマスクを使用する露光方法であって、
     前記応力開放起因による変位量データに基づき、投影倍率を補正して露光することを特徴とする露光方法。
  10.  請求項1から請求項5のいずれか1項に記載の反射型フォトマスクブランクを用いて製造した反射型フォトマスクを備えた露光装置であって、
     前記応力開放起因による変位量データに基づき、投影倍率を補正して露光する手段を有することを特徴とする露光装置。
PCT/JP2015/001543 2014-03-20 2015-03-19 反射型フォトマスクブランク、反射型フォトマスク、反射型フォトマスクの製造方法、露光方法及び露光装置 WO2015141230A1 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2014057868 2014-03-20
JP2014-057868 2014-03-20
JP2014170229A JP2017084837A (ja) 2014-03-20 2014-08-25 反射型フォトマスクブランクおよび反射型フォトマスクの製造方法ならびに反射型フォトマスク
JP2014-170229 2014-08-25

Publications (1)

Publication Number Publication Date
WO2015141230A1 true WO2015141230A1 (ja) 2015-09-24

Family

ID=54144210

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/001543 WO2015141230A1 (ja) 2014-03-20 2015-03-19 反射型フォトマスクブランク、反射型フォトマスク、反射型フォトマスクの製造方法、露光方法及び露光装置

Country Status (2)

Country Link
TW (1) TW201543138A (ja)
WO (1) WO2015141230A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017129634A (ja) * 2016-01-18 2017-07-27 株式会社ニューフレアテクノロジー マスク検査方法およびマスク検査装置
US10663853B2 (en) * 2017-02-17 2020-05-26 United Microelectronics Corp. Extreme ultraviolet mask
JP2021012399A (ja) * 2016-03-31 2021-02-04 Hoya株式会社 反射型マスクブランクの製造方法、反射型マスクブランク、反射型マスクの製造方法、反射型マスク、及び半導体装置の製造方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9946152B2 (en) 2016-04-27 2018-04-17 Globalfoundries Inc. Extreme ultraviolet lithography photomasks
TWI710850B (zh) * 2018-03-23 2020-11-21 日商Hoya股份有限公司 光罩、光罩基底、光罩之製造方法、及電子元件之製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004104118A (ja) * 2002-08-23 2004-04-02 Hoya Corp 反射型マスクブランク及び反射型マスクの製造方法
JP2011108942A (ja) * 2009-11-19 2011-06-02 Renesas Electronics Corp 反射型露光用マスク、反射型露光用マスクの製造方法、および、半導体装置の製造方法
JP2012151368A (ja) * 2011-01-20 2012-08-09 Dainippon Printing Co Ltd 反射型マスク、およびその製造方法
JP2012204409A (ja) * 2011-03-23 2012-10-22 Toshiba Corp フォトマスクの製造方法及び半導体装置の製造方法
JP2013058785A (ja) * 2012-11-16 2013-03-28 Dainippon Printing Co Ltd 反射型マスク、および、反射型マスク製造方法
JP2014183075A (ja) * 2013-03-18 2014-09-29 Toppan Printing Co Ltd 反射型マスクおよびその製造方法
JP2014232844A (ja) * 2013-05-30 2014-12-11 凸版印刷株式会社 反射型マスクの製造方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004104118A (ja) * 2002-08-23 2004-04-02 Hoya Corp 反射型マスクブランク及び反射型マスクの製造方法
JP2011108942A (ja) * 2009-11-19 2011-06-02 Renesas Electronics Corp 反射型露光用マスク、反射型露光用マスクの製造方法、および、半導体装置の製造方法
JP2012151368A (ja) * 2011-01-20 2012-08-09 Dainippon Printing Co Ltd 反射型マスク、およびその製造方法
JP2012204409A (ja) * 2011-03-23 2012-10-22 Toshiba Corp フォトマスクの製造方法及び半導体装置の製造方法
JP2013058785A (ja) * 2012-11-16 2013-03-28 Dainippon Printing Co Ltd 反射型マスク、および、反射型マスク製造方法
JP2014183075A (ja) * 2013-03-18 2014-09-29 Toppan Printing Co Ltd 反射型マスクおよびその製造方法
JP2014232844A (ja) * 2013-05-30 2014-12-11 凸版印刷株式会社 反射型マスクの製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017129634A (ja) * 2016-01-18 2017-07-27 株式会社ニューフレアテクノロジー マスク検査方法およびマスク検査装置
JP2021012399A (ja) * 2016-03-31 2021-02-04 Hoya株式会社 反射型マスクブランクの製造方法、反射型マスクブランク、反射型マスクの製造方法、反射型マスク、及び半導体装置の製造方法
JP7286604B2 (ja) 2016-03-31 2023-06-05 Hoya株式会社 反射型マスクブランクの製造方法、反射型マスクの製造方法、及び半導体装置の製造方法
US11852964B2 (en) 2016-03-31 2023-12-26 Hoya Corporation Method for manufacturing reflective mask blank, reflective mask blank, method for manufacturing reflective mask, reflective mask, and method for manufacturing semiconductor device
US10663853B2 (en) * 2017-02-17 2020-05-26 United Microelectronics Corp. Extreme ultraviolet mask
TWI712849B (zh) * 2017-02-17 2020-12-11 聯華電子股份有限公司 一種極紫外線光罩

Also Published As

Publication number Publication date
TW201543138A (zh) 2015-11-16

Similar Documents

Publication Publication Date Title
US11131921B2 (en) Method for manufacturing reflective mask blank, and method for manufacturing reflective mask
KR101184858B1 (ko) 반사형 마스크 블랭크스, 반사형 마스크 및 반사형 마스크의 검사 방법 및 제조 방법
KR100977095B1 (ko) Euv 마스크 및 euv 마스크의 복구 방법
US8535854B2 (en) Reflective exposure mask, method of fabricating reflective exposure mask, method of inspecting reflective exposure mask, and method of cleaning reflective exposure mask
US8216744B2 (en) Exposure mask and method for manufacturing same and method for manufacturing semiconductor device
TWI802031B (zh) 反射型光罩基底之製造方法、反射型光罩之製造方法、及半導體裝置之製造方法
WO2015141230A1 (ja) 反射型フォトマスクブランク、反射型フォトマスク、反射型フォトマスクの製造方法、露光方法及び露光装置
TW201418869A (zh) Euv微影術用反射型光罩基底及其製造方法、以及euv微影術用反射型光罩及其製造方法
US8859169B2 (en) Photomask having patterns for EUV light and DUV light
JP6277645B2 (ja) パターン位置計測方法、パターン位置計測装置、及びフォトマスク
US20120040293A1 (en) Reflective mask, manufacturing method for reflective mask, and manufacturing method for semiconductor device
JP2017084837A (ja) 反射型フォトマスクブランクおよび反射型フォトマスクの製造方法ならびに反射型フォトマスク
JP2016066715A (ja) 反射型マスクの位相欠陥補正方法、ペリクル付きマスク
JP6596366B2 (ja) マスク及びその製造方法
WO2016103734A1 (ja) 反射型マスク及びその製造方法
JP2016031972A (ja) 反射型マスクブランク及びその製造方法並びに反射型マスク
JP2017227805A (ja) 反射型マスクの修正方法及び反射型マスクの製造方法
KR20150127830A (ko) 반사형 마스크 블랭크, 그 제조방법 및 반사형 포토마스크의 제조방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15765679

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: JP

122 Ep: pct application non-entry in european phase

Ref document number: 15765679

Country of ref document: EP

Kind code of ref document: A1