WO2015065582A1 - Intégration de matériau de canal hétérogène dans une tranche de semi-conducteur - Google Patents

Intégration de matériau de canal hétérogène dans une tranche de semi-conducteur Download PDF

Info

Publication number
WO2015065582A1
WO2015065582A1 PCT/US2014/053897 US2014053897W WO2015065582A1 WO 2015065582 A1 WO2015065582 A1 WO 2015065582A1 US 2014053897 W US2014053897 W US 2014053897W WO 2015065582 A1 WO2015065582 A1 WO 2015065582A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
semiconductor device
thermal budget
type device
type
Prior art date
Application number
PCT/US2014/053897
Other languages
English (en)
Inventor
Stanley Seungchul Song
Choh Fei Yeap
Zhongze Wang
Niladri Narayan Mojumder
Original Assignee
Qualcomm Incorporated
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Incorporated filed Critical Qualcomm Incorporated
Priority to JP2016526109A priority Critical patent/JP2016541110A/ja
Priority to EP14766337.1A priority patent/EP3063788B1/fr
Priority to CN201480058901.4A priority patent/CN105684141B/zh
Publication of WO2015065582A1 publication Critical patent/WO2015065582A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0605Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits made of compound material, e.g. AIIIBV
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83909Post-treatment of the layer connector or bonding area
    • H01L2224/83948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Definitions

  • aspects of the present disclosure relate to semiconductor devices, and more particularly to heterogeneous channel material integration for materials that have different thermal budgets.
  • Semiconductor chip level bonded devices are used in several consumer and commercial applications. Semiconductor devices are often made from a single type of material. Semiconductor devices may also be made of different types of material grown onto a substrate based on lattice matching and compatible crystalline structures.
  • III-V materials Semiconductor devices manufactured from compound materials (i.e., where the semiconductor structure is more than one material), such as III-V materials, are typically grown on gallium arsenide or other compound semiconductor substrates. These devices are difficult to integrate with electronic devices fabricated on silicon because of lattice mismatches between the crystalline structures of silicon and compound semiconductor materials.
  • a method for fabricating a device in accordance with one aspect of the present disclosure includes processing a first substrate of a first material at a first thermal budget to fabricate a p-type device. Such a method further includes coupling a second substrate of a second material to the first substrate. The method also includes processing the second substrate to fabricate an n-type device at a second thermal budget that is less than the first thermal budget. The p-type device and the n-type device may cooperate to form a complementary device.
  • a semiconductor device in accordance with another aspect of the present disclosure includes a first substrate of a first material having a first thermal budget.
  • the first substrate may include a p-type device.
  • Such a device also includes a second substrate of a second material having a second thermal budget that is coupled to the first substrate.
  • the second substrate may include an n-type device.
  • the p-type device and the n-type device may cooperate to form a complementary device.
  • Another semiconductor device in accordance with one aspect of the present disclosure includes a first means for conducting a first charge carrier type in a first substrate of a first material having a first thermal budget. Such a device also includes a second means for conducting a second charge carrier type in a second substrate.
  • the second substrate may be of a second material having a second thermal budget and coupled to the first substrate.
  • the first means and the second means may cooperate to form a complementary device.
  • FIGURE 1 illustrates a side view of a semiconductor device in an aspect of the present disclosure.
  • FIGURE 2 illustrates coupling a compound semiconductor substrate to a different material system semiconductor device in an aspect of the present disclosure.
  • FIGURE 3 illustrates a side view of a compound semiconductor device formed on the different material system semiconductor device in an aspect of the present disclosure.
  • FIGURE 4 is a process flow diagram illustrating a method of making a resonator according to an aspect of the present disclosure.
  • FIGURE 5 is a block diagram showing an exemplary wireless communication system in which a configuration of the disclosure may be advantageously employed.
  • FIGURE 6 is a block diagram illustrating a design workstation used for circuit, layout, and logic design of a semiconductor component according to one configuration.
  • a high mobility conduction channel is desirable for high performance transistors. Such transistors are often made using III-V materials. The narrow energy bandgap of III-V materials, however, may not provide leakage current below 1 ⁇ / ⁇ . For low-power devices, silicon channels are desired because silicon channels can prevent low leakage currents, however, these devices may have lower performance than III-V devices.
  • Heterogeneous channel integration of a III-V channel into a semiconductor wafer, (e.g., silicon), or integration of III-V devices with other semiconductor material systems into the same wafer is difficult. Complicated epitaxial growth processes due to dissimilar materials, and thermal and mechanical stresses, complicate heterogeneous material integration. Integrating a separate semiconductor material system with III-V devices into a single wafer is even more difficult due to the thermal budget of some material systems (e.g., silicon >1000 C) and the thermal budget limitation of III-V materials ( ⁇ 700 C).
  • An aspect of the present disclosure processes different semiconductor material systems, (e.g., Si and III-V) devices in different levels or "tiers."
  • One aspect of the present disclosure allows for silicon processing and III-V processing within the same circuit without affecting prior structural process steps.
  • FIGURE 1 illustrates a side view of a semiconductor device in an aspect of the present disclosure.
  • a wafer 100 comprises a first substrate 102, a semiconductor device 104, an interface layer 106, and an interconnect 108.
  • the wafer 100 may comprise many integrated circuits, which are produced by dicing, cleaving, or cutting the wafer 100 into pieces.
  • FIGURE 1 may illustrate the wafer 100 or a semiconductor chip that is a portion of the wafer 100.
  • One aspect of the present disclosure uses a semiconductor (e.g., silicon (Si)) substrate as the first substrate 102.
  • the semiconductor device 104 which may be formed on (or in) the first substrate 102, may be a metal-oxide-semiconductor field- effect transistor (MOSFET).
  • the semiconductor device 104 may also be a tunneling field-effect transistor (TFET).
  • the wafer 100 is processed to create the semiconductor device 104 and to create the interconnect 108.
  • the first substrate 102 is processed until the semiconductor device 104 and interconnects have reached a certain point in the processing. That point may be at any desired time, but may be up to the local interconnection layer (e.g., middle of line (MOL)) or the first conductive layer (e.g., metal one (Ml)).
  • MOL middle of line
  • Ml metal one
  • the interface layer 106 is then coupled to the last processed portion of the first substrate 102.
  • the interface layer 106 may be an amorphous layer, such as silicon dioxide (Si0 2 ), a crystalline layer, or any other layer or material that aids in the bonding or coupling of another material to the wafer 100 and also provides electrical isolation of the devices on substrate 102 from the subsequently processed devices.
  • FIGURE 2 illustrates coupling of a compound semiconductor substrate to a semiconductor (e.g., silicon) device in an aspect of the present disclosure.
  • a coupled structure 200 shows that a second substrate 202 is coupled to the interface layer 106 of the wafer 100.
  • the coupling of the second substrate 202 may be a bonding or "smart cut" process to couple the second substrate 202 to the wafer 100.
  • the coupling may also be an oxide-oxide process.
  • the coupling of the second substrate 202 may also be performed using annealing, plasma welding, or other forms of coupling the second substrate 202 to the wafer 100.
  • the second substrate 202 may be a III-V material, a II-VI material, or another material that has a different thermal budget than the first substrate 102.
  • the first substrate 102 may be a silicon substrate that has a thermal budget of greater than 1000 degrees Centigrade.
  • the second substrate 202 may be a III-V substrate (e.g., a gallium arsenide (GaAs) substrate) that has a thermal budget of less than 700 degrees Centigrade. This reduced thermal budget is specified because the crystalline bonds and/or covalent bonding of the compound III-V materials break down at the higher temperatures used for semiconductor processing.
  • GaAs gallium arsenide
  • the difference in thermal budget between the first substrate 102 and the second substrate 202 may be great enough to allow for processing of the second substrate 202 without substantial effect on the prior processing performed on the first substrate 102.
  • the second substrate 202 may be coupled directly to the interface layer 106, or may be coupled to another layer of the first substrate 102. Further, additional steps (e.g., thinning the second substrate 202), may be performed prior to or after coupling the second substrate to the wafer 100.
  • FIGURE 3 illustrates a side view of a compound semiconductor device 300 formed on a semiconductor device in an aspect of the present disclosure.
  • the compound semiconductor device 300 includes a semiconductor device 302 formed on (or in) the second substrate 202.
  • the second substrate 202 may be further processed to extend the interconnect 108 through the second substrate 202 and through additional processing layers coupled to the second substrate 202.
  • the semiconductor device 104 which may be a MOSFET, is substantially unchanged in electrical performance after forming the semiconductor device 302.
  • the semiconductor device 302 may also be a MOSFET and/or a TFET device.
  • the semiconductor device 104 and the semiconductor device 302 use different charge carriers in their respective channels.
  • the semiconductor device 104 and the semiconductor device 302 may then be combined to create a complementary metal-oxide-semiconductor (CMOS) device.
  • CMOS complementary metal-oxide-semiconductor
  • a high mobility conduction channel is desirable for high performance transistors.
  • electron mobility varies between 90 and 1500 cm 2 /V-s
  • hole mobility varies between 50 and 450 cm 2 /V-s.
  • III-V materials such as gallium arsenide
  • electron mobility is -8500 cm 2 /V-s
  • hole mobility is relatively constant at -400 cm 2 /V-s.
  • a complementary device may use a p-type carrier in the semiconductor device 104 and an n-type device in the semiconductor device 302 to provide increased carrier mobility. Further, because the processing of the semiconductor device 302 can be tailored for single charge carrier devices, the narrow energy bandgap of III-V materials used in the second substrate 202 may be designed to assist in containing leakage current for values below 1 ⁇ / ⁇ . Alternatively, the semiconductor device 302 may be used in applications where leakage current would not degrade the overall circuit function. The use of the second substrate 202 may increase the performance of the compound semiconductor device 300 over a similar circuit made only from a single substrate material.
  • the semiconductor device 104 may be a p-type device (a PMOS device), and the semiconductor device 302 may be an n- type device (an NMOS device).
  • the semiconductor device 104 and the semiconductor device 302 may then be coupled together to create a complementary device (CMOS).
  • CMOS complementary device
  • the processing of the semiconductor device 104, and/or the selection of the material used for the first substrate 102, may then be improved or even optimized for a specific charge carrier in the channel in the semiconductor device 104.
  • the processing of the semiconductor device 302, and/or the selection of the material for the second substrate 202 may then be improved or even optimized for a specific charge carrier in the channel in the semiconductor device 302.
  • the present disclosure allows for tailoring of the channel characteristics through the use of the first substrate 102 and the second substrate 202. Further, the present disclosure allows for selection of the channel charge carriers and device performance and maintains the performance of the semiconductor device 104 while processing the semiconductor device 302.
  • the III-V process to create the semiconductor device 302 uses temperatures that have a negligible influence on the processing steps used to create the semiconductor device 104. Thus, creating the semiconductor device 302 has a negligible impact on the semiconductor device 104.
  • the semiconductor device 104 may also be assigned to a particular use, while the semiconductor device 302 may be assigned to a different use, within an aspect of the present disclosure.
  • the semiconductor device 104 may be used for a low power portion of an overall circuit where the compound semiconductor device 300 is employed, such as a modem.
  • the semiconductor device 302, in such an aspect of the present disclosure, may be used as a high performance portion of the circuit such as a central processing unit (CPU).
  • CPU central processing unit
  • FIGURE 4 is a process flow diagram illustrating a method 400 of making an integrated device according to an aspect of the present disclosure.
  • a first substrate of a first material is processed at a first thermal budget to fabricate a p-type device.
  • a second substrate of a second material is coupled to the first substrate.
  • the second substrate is processed to fabricate an n-type device at a second thermal budget that is lower than the first thermal budget.
  • the p-type device and the n-type device cooperate to form a
  • a compound device in accordance with an aspect of the present disclosure, includes a first means for conducting a first charge carrier type in a first substrate of a first material having a first thermal budget.
  • the first means may be the semiconductor device 104 and/or other structures configured to perform the functions recited by the first means.
  • the compound semiconductor device also includes a second means for conducting a second charge carrier type in a second substrate of a second material having a second thermal budget coupled to the first substrate. The first means and the second means may cooperate to form a
  • the second means may be the semiconductor device 302, and/or other structures configured to perform the functions recited by the second means.
  • the aforementioned means may be any module or any apparatus configured to perform the functions recited by the aforementioned means.
  • FIGURE 5 is a block diagram showing an exemplary wireless communication system 500 in which an aspect of the disclosure may be advantageously employed.
  • FIGURE 6 shows three remote units 520, 530, and 550 and two base stations 540.
  • Remote units 520, 530, and 550 include IC devices 525A, 525C, and 525B that include the disclosed devices. It will be recognized that other devices may also include the disclosed heterogeneous channel materials, such as the base stations, switching devices, and network equipment.
  • FIGURE 5 shows forward link signals 580 from the two base stations 540 to the remote units 520, 530, and 550 and reverse link signals 590 from the remote units 520, 530, and 550 to the two base stations 540.
  • remote unit 520 is shown as a mobile telephone
  • remote unit 530 is shown as a portable computer
  • remote unit 550 is shown as a fixed location remote unit in a wireless local loop system.
  • the remote units may be mobile phones, hand-held personal communication systems (PCS) units, portable data units such as personal data assistants, GPS enabled devices, navigation devices, set top boxes, music players, video players, entertainment units, fixed location data units such as meter reading equipment, or other devices that store or retrieve data or computer instructions, or combinations thereof.
  • PCS personal communication systems
  • FIGURE 5 illustrates remote units according to the aspects of the disclosure, the disclosure is not limited to these exemplary illustrated units. Aspects of the disclosure may be suitably employed in many devices, which include the disclosed devices.
  • FIGURE 6 is a block diagram illustrating a design workstation used for circuit, layout, and logic design of a semiconductor component, such as the devices disclosed above.
  • a design workstation 600 includes a hard disk 601 containing operating system software, support files, and design software such as Cadence or OrCAD.
  • the design workstation 600 also includes a display 602 to facilitate design of a circuit 610 or a semiconductor component 612 such as a device having heterogeneous channel materials.
  • a storage medium 604 is provided for tangibly storing the design of the circuit 610 or the semiconductor component 612.
  • the design of the circuit 610 or the semiconductor component 612 may be stored on the storage medium 604 in a file format such as GDSII or GERBER.
  • the storage medium 604 may be a CD-ROM, DVD, hard disk, flash memory, or other appropriate device.
  • the design workstation 600 includes a drive apparatus 603 for accepting input from or writing output to the storage medium 604.
  • Data recorded on the storage medium 604 may specify logic circuit
  • the data may further include logic verification data such as timing diagrams or net circuits associated with logic simulations.
  • DSP digital signal processor
  • ASIC application specific integrated circuit
  • FPGA field programmable gate array
  • a general- purpose processor may be a microprocessor, but in the alternative, the processor may be any conventional processor, controller, microcontroller, or state machine.
  • a processor may also be implemented as a combination of computing devices, e.g., a combination of a DSP and a microprocessor, multiple microprocessors, one or more microprocessors in conjunction with a DSP core, or any other such configuration.
  • a software module may reside in RAM, flash memory, ROM, EPROM, EEPROM, registers, hard disk, a removable disk, a CD-ROM, or any other form of storage medium known in the art.
  • An exemplary storage medium is coupled to the processor such that the processor can read information from, and write information to, the storage medium.
  • the storage medium may be integral to the processor.
  • the processor and the storage medium may reside in an ASIC.
  • the ASIC may reside in a user terminal.
  • the processor and the storage medium may reside as discrete components in a user terminal.
  • the functions described may be implemented in hardware, software, firmware, or any combination thereof. If implemented in software, the functions may be stored on or transmitted over as one or more instructions or code on a computer-readable medium.
  • Computer-readable media includes both computer storage media and communication media including any medium that facilitates transfer of a computer program from one place to another.
  • a storage media may be any available media that can be accessed by a general purpose or special purpose computer.
  • such computer-readable media can include RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store specified program code means in the form of instructions or data structures and that can be accessed by a general-purpose or special-purpose computer, or a general-purpose or special-purpose processor. Also, any connection is properly termed a computer-readable medium.
  • Disk and disc includes compact disc (CD), laser disc, optical disc, digital versatile disc (DVD), floppy disk and Blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer-readable media.

Abstract

L'invention porte sur des procédés, qui permettent d'intégrer un matériau de canal hétérogène dans un dispositif à semi-conducteurs, et sur des dispositifs à semi-conducteurs, qui intègrent un matériau de canal hétérogène. Un procédé pour fabriquer un dispositif à semi-conducteurs comprend le traitement d'un premier substrat d'un premier matériau à un premier bilan thermique pour fabriquer un dispositif de type p. Le procédé comprend en outre le couplage d'un second substrat d'un second matériau au premier substrat. Le procédé comprend également le traitement du second substrat pour fabriquer un dispositif de type n à un second bilan thermique qui est inférieur au premier bilan thermique. Le dispositif de type p et le dispositif de type n peuvent coopérer pour former un dispositif complémentaire.
PCT/US2014/053897 2013-10-28 2014-09-03 Intégration de matériau de canal hétérogène dans une tranche de semi-conducteur WO2015065582A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2016526109A JP2016541110A (ja) 2013-10-28 2014-09-03 ウェハへの異種チャネル材料の統合
EP14766337.1A EP3063788B1 (fr) 2013-10-28 2014-09-03 Intégration de matériau de canal hétérogène dans une tranche de semi-conducteur
CN201480058901.4A CN105684141B (zh) 2013-10-28 2014-09-03 到晶片中的异构沟道材料集成

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/064,944 US9257407B2 (en) 2013-10-28 2013-10-28 Heterogeneous channel material integration into wafer
US14/064,944 2013-10-28

Publications (1)

Publication Number Publication Date
WO2015065582A1 true WO2015065582A1 (fr) 2015-05-07

Family

ID=51541366

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/053897 WO2015065582A1 (fr) 2013-10-28 2014-09-03 Intégration de matériau de canal hétérogène dans une tranche de semi-conducteur

Country Status (5)

Country Link
US (1) US9257407B2 (fr)
EP (1) EP3063788B1 (fr)
JP (1) JP2016541110A (fr)
CN (1) CN105684141B (fr)
WO (1) WO2015065582A1 (fr)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9343369B2 (en) * 2014-05-19 2016-05-17 Qualcomm Incorporated Three dimensional (3D) integrated circuits (ICs) (3DICs) and related systems
US9954033B2 (en) * 2015-12-11 2018-04-24 Flexterra, Inc. Bonding P-type and N-type sheets to form complementary circuits

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5606186A (en) * 1993-12-20 1997-02-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit including opposed substrates of different semiconductor materials and method of manufacturing the semiconductor integrated circuit
EP1104028A2 (fr) * 1999-11-23 2001-05-30 Lucent Technologies Inc. MOSFET en SiC pour utilisation en tant que commutateur de puissance et son procédé de fabrication
JP2009158528A (ja) * 2007-12-25 2009-07-16 Sharp Corp 半導体装置
FR2933232A1 (fr) * 2008-06-30 2010-01-01 Soitec Silicon On Insulator Procede de fabrication de dispositifs semi-conducteurs,et structure semi-conductrice obtenue par un tel procede
US7875952B1 (en) * 2006-09-19 2011-01-25 Hrl Laboratories, Llc Method of transistor level heterogeneous integration and system
EP2521168A1 (fr) * 2011-05-03 2012-11-07 Imec Procédé de fabrication d'un dispositif MOSFET hybride et dispositif MOSFET hybride pouvant être obtenu par ce procédé
WO2012169213A1 (fr) * 2011-06-10 2012-12-13 住友化学株式会社 Dispositif semi-conducteur, substrat semi-conducteur, procédé de production de substrat semi-conducteur, et procédé de production de dispositif semi-conducteur
US20130082235A1 (en) * 2011-10-04 2013-04-04 Qualcomm Incorporated Monolithic 3-d integration using graphene

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6455398B1 (en) 1999-07-16 2002-09-24 Massachusetts Institute Of Technology Silicon on III-V semiconductor bonding for monolithic optoelectronic integration
US6882010B2 (en) * 2002-10-03 2005-04-19 Micron Technology, Inc. High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
JP2005191235A (ja) * 2003-12-25 2005-07-14 Seiko Epson Corp 半導体装置および半導体装置の製造方法
FR2896620B1 (fr) * 2006-01-23 2008-05-30 Commissariat Energie Atomique Circuit integre tridimensionnel de type c-mos et procede de fabrication
JP2010508676A (ja) * 2006-11-02 2010-03-18 アイメック 半導体デバイス層からの不純物の除去
KR101300820B1 (ko) 2007-07-24 2013-08-26 삼성전자주식회사 반도체 집적 회로 장치 및 그 제조 방법
JP5617835B2 (ja) 2009-02-24 2014-11-05 日本電気株式会社 半導体装置およびその製造方法
JP2011108860A (ja) * 2009-11-18 2011-06-02 Panasonic Corp 固体撮像素子の製造方法
JP6019599B2 (ja) * 2011-03-31 2016-11-02 ソニー株式会社 半導体装置、および、その製造方法
US9111795B2 (en) * 2011-04-29 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with capacitor connected to memory element through oxide semiconductor film
US9496255B2 (en) * 2011-11-16 2016-11-15 Qualcomm Incorporated Stacked CMOS chipset having an insulating layer and a secondary layer and method of forming same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5606186A (en) * 1993-12-20 1997-02-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit including opposed substrates of different semiconductor materials and method of manufacturing the semiconductor integrated circuit
EP1104028A2 (fr) * 1999-11-23 2001-05-30 Lucent Technologies Inc. MOSFET en SiC pour utilisation en tant que commutateur de puissance et son procédé de fabrication
US7875952B1 (en) * 2006-09-19 2011-01-25 Hrl Laboratories, Llc Method of transistor level heterogeneous integration and system
JP2009158528A (ja) * 2007-12-25 2009-07-16 Sharp Corp 半導体装置
FR2933232A1 (fr) * 2008-06-30 2010-01-01 Soitec Silicon On Insulator Procede de fabrication de dispositifs semi-conducteurs,et structure semi-conductrice obtenue par un tel procede
EP2521168A1 (fr) * 2011-05-03 2012-11-07 Imec Procédé de fabrication d'un dispositif MOSFET hybride et dispositif MOSFET hybride pouvant être obtenu par ce procédé
WO2012169213A1 (fr) * 2011-06-10 2012-12-13 住友化学株式会社 Dispositif semi-conducteur, substrat semi-conducteur, procédé de production de substrat semi-conducteur, et procédé de production de dispositif semi-conducteur
US20140091392A1 (en) * 2011-06-10 2014-04-03 Sumitomo Chemical Company, Limited Semiconductor device, semiconductor wafer, method for producing semiconductor wafer, and method for producing semiconductor device
US20130082235A1 (en) * 2011-10-04 2013-04-04 Qualcomm Incorporated Monolithic 3-d integration using graphene

Also Published As

Publication number Publication date
CN105684141B (zh) 2020-09-11
JP2016541110A (ja) 2016-12-28
EP3063788B1 (fr) 2020-10-21
US9257407B2 (en) 2016-02-09
CN105684141A (zh) 2016-06-15
EP3063788A1 (fr) 2016-09-07
US20150115473A1 (en) 2015-04-30

Similar Documents

Publication Publication Date Title
EP3646370B1 (fr) Silicium-sur-isolant avec substrat de silicium poreux
US10083963B2 (en) Logic circuit block layouts with dual-side processing
US10420171B2 (en) Semiconductor devices on two sides of an isolation layer
US10784348B2 (en) Porous semiconductor handle substrate
US9812580B1 (en) Deep trench active device with backside body contact
JP6396624B1 (ja) 背面結合型対称バラクタ構造
CN105874601A (zh) 通过Ge凝结进行的硅锗FinFET形成
US10559520B2 (en) Bulk layer transfer processing with backside silicidation
US20160133634A1 (en) Fin field-effect transistor static random access memory devices with p-channel metal-oxide-semiconductor pass gate transistors
US20190371891A1 (en) Bulk layer transfer based switch with backside silicidation
TW201737487A (zh) 使用背側半導體或金屬之半導體二極體
US20180068886A1 (en) Porous semiconductor layer transfer for an integrated circuit structure
US10748934B2 (en) Silicon on insulator with multiple semiconductor thicknesses using layer transfer
EP3063788B1 (fr) Intégration de matériau de canal hétérogène dans une tranche de semi-conducteur
US20160225881A1 (en) Silicon germanium finfet formation
US10700012B2 (en) Porous silicon dicing
US20190027576A1 (en) Composite channel metal-oxide-semiconductor field effect transistor (mosfet)
TW201812994A (zh) 形成具有在埋入式介電層之兩側上之半導體器件的器件之方法
WO2018004693A1 (fr) Substrats pour circuits intégrés

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14766337

Country of ref document: EP

Kind code of ref document: A1

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
REEP Request for entry into the european phase

Ref document number: 2014766337

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 2016526109

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE