WO2014084132A1 - 装置及びその製造方法 - Google Patents

装置及びその製造方法 Download PDF

Info

Publication number
WO2014084132A1
WO2014084132A1 PCT/JP2013/081483 JP2013081483W WO2014084132A1 WO 2014084132 A1 WO2014084132 A1 WO 2014084132A1 JP 2013081483 W JP2013081483 W JP 2013081483W WO 2014084132 A1 WO2014084132 A1 WO 2014084132A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulating film
film
groove
region
gate
Prior art date
Application number
PCT/JP2013/081483
Other languages
English (en)
French (fr)
Inventor
美香 吉田
Original Assignee
ピーエスフォー ルクスコ エスエイアールエル
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ピーエスフォー ルクスコ エスエイアールエル filed Critical ピーエスフォー ルクスコ エスエイアールエル
Priority to US14/439,012 priority Critical patent/US20150295033A1/en
Publication of WO2014084132A1 publication Critical patent/WO2014084132A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/408Electrodes ; Multistep manufacturing processes therefor with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Definitions

  • the present invention relates to a semiconductor device and a method for manufacturing the same, and more particularly, to a semiconductor device including a transistor having an HKMG (high-k metal gate) structure and a method for manufacturing the same.
  • HKMG high-k metal gate
  • HKMG structure has been proposed in order to solve various problems that occur with the progress of lower power supply voltage, higher speed, and higher integration of transistors.
  • FCVD flowable chemical vapor deposition
  • Oxygen that shifts the threshold value of the HKMG transistor can be supplied from an oxide in contact with the high dielectric constant gate insulating film.
  • the silicon oxide film Oxygen is supplied to the high dielectric constant gate insulating film.
  • oxygen supplied to the high dielectric constant gate insulating film diffuses to the upper part of the channel of the transistor, the threshold value of the transistor shifts. Specifically, the threshold value increases for an N-channel transistor and decreases for a P-channel transistor.
  • the threshold shift amount of the transistor caused by oxygen supplied from the surroundings to the high dielectric constant gate insulating film depends on the channel width W of the transistor, and the channel area and the high dielectric constant of the STI on the silicon oxide film It also greatly depends on the area of the gate insulating film.
  • CMOS ComplementarylementMetal-Oxide Semiconductor
  • a nitride film is used as the insulating film for embedding the STI, oxygen supply to the high dielectric constant gate insulating film can be eliminated. However, if the nitride film and the active region are too close to each other, another problem that the reliability of the transistor is lowered is not practical.
  • An apparatus is configured by sequentially stacking an insulating film having a high dielectric constant and an electrode film containing a metal material on a substrate partitioned into an active region and an element isolation region surrounding the active region.
  • a gate structure extending from the active region to the element isolation region, the element isolation region covering a groove formed in a substrate and a side wall surface of the groove;
  • An apparatus includes a substrate partitioned into a memory cell region and a peripheral circuit region, a first element isolation region defined in the memory cell region and including a first trench, An active region defined in the peripheral circuit region, a second element isolation region defined in the peripheral circuit region and including a second trench surrounding the active region, and a first portion embedding the first trench
  • a first insulating film including a second portion covering a side wall surface of the second groove and burying a lower portion of the second groove; and the first insulating layer burying a lower portion of the second groove.
  • a second insulating film covering the insulating film and burying an upper portion of the second groove, an insulating film having a high dielectric constant, and an electrode film containing a metal material are sequentially stacked on the substrate, and the active And a gate structure extending from the region to the second element isolation region.
  • a method of manufacturing an apparatus comprising: a step of forming a stopper film on a substrate; a step of patterning the stopper film and forming a groove in the substrate; Forming a first insulating film covering the side wall surface and burying the lower part of the groove; and forming a second insulating film covering the first insulating film and burying the upper part of the groove; Polishing the upper surface of the stopper film and the second insulating film so that each upper surface forms a substantially flat surface, removing the stopper film, and forming a gate insulating film having a high dielectric constant. And a step of forming a gate electrode containing a metal material covering the gate insulating film.
  • the present invention it is possible to reduce the oxygen supply path to the high dielectric constant film of the transistor employing the HKMG structure, and to suppress the threshold voltage shift of the transistor.
  • the threshold voltage of the transistor can be controlled with high accuracy, the dependency of threshold fluctuation on layout can be eliminated, and a highly reliable semiconductor device can be obtained.
  • FIG. 1 is a diagram showing a planar layout of a semiconductor device according to a first embodiment of the present invention.
  • FIG. 2 is a cross-sectional view taken along line A-A ′ of FIG. 1.
  • FIG. 2 is a sectional view taken along line B-B ′ of FIG. 1.
  • FIG. 2 is a sectional view taken along line C-C ′ of FIG. 1.
  • FIG. 6 is a view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention, and a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 6 is a view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention, and a cross-sectional view taken along a line B-B ′ in FIG. 1.
  • FIG. 6 is a view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention, and a cross-sectional view taken along a line corresponding to line C-C ′ in FIG. 1.
  • FIG. 3 is a diagram for explaining a process following the process illustrated in FIGS. 3A, 3B, and 3C, and a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 3 is a diagram for explaining a process following the process illustrated in FIGS. 3A, 3B, and 3C, and a cross-sectional view taken along a line B-B ′ in FIG. 1.
  • 3A and 3B are views for explaining a process subsequent to the process shown in FIGS.
  • FIG. 4 is a diagram for explaining a process following the process illustrated in FIGS. 4A, 4B, and 4C, and a cross-sectional view taken along a line A-A ′ in FIG.
  • FIG. 4 is a diagram for explaining a process following the process illustrated in FIGS. 4A, 4B, and 4C, and a cross-sectional view at a position corresponding to the line B-B ′ of FIG. 1.
  • FIG. 4 is a diagram for explaining a process following the process illustrated in FIGS.
  • FIG. 5 is a diagram for explaining a process following the process illustrated in FIGS. 5A, 5B, and 5C, and a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 5 is a diagram for explaining a process following the process illustrated in FIGS. 5A, 5B, and 5C, and a cross-sectional view at a position corresponding to the line B-B ′ of FIG. 1.
  • FIG. 5 is a diagram for explaining a process following the process illustrated in FIGS.
  • FIG. 6 is a diagram for explaining a process following the process illustrated in FIGS. 6A, 6B, and 6C, and a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 6 is a diagram for explaining a process following the process illustrated in FIGS. 6A, 6B, and 6C, and a cross-sectional view taken along a line B-B ′ in FIG. 1.
  • FIG. 6 is a view for explaining a step following the step shown in FIGS.
  • FIG. 7 is a diagram for explaining a process following the process illustrated in FIGS. 7A, 7B, and 7C, and is a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 7 is a diagram for explaining a process following the process illustrated in FIGS. 7A, 7B, and 7C, and a cross-sectional view at a position corresponding to the line B-B ′ of FIG. 1.
  • FIG. 7 is a diagram for explaining a process following the process illustrated in FIGS.
  • FIG. 8 is a view for explaining a step that follows the step shown in FIGS. 8A, 8B, and 8C, and is a cross-sectional view at a position corresponding to the line A-A ′ of FIG. 1;
  • FIG. 8 is a view for explaining a step that follows the step shown in FIGS. 8A, 8B, and 8C, and is a cross-sectional view at a position corresponding to the line B-B ′ of FIG. 1.
  • FIG. 8 is a diagram for explaining a process following the process illustrated in FIGS.
  • FIG. 9 is a diagram for explaining a process following the process illustrated in FIGS. 9A, 9B, and 9C, and a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 9 is a view for explaining a step that follows the step shown in FIGS. 9A, 9B, and 9C, and is a cross-sectional view at a position corresponding to the line B-B ′ of FIG. 1.
  • FIG. 9 is a diagram for explaining a process following the process illustrated in FIGS.
  • FIG. 10 is a diagram for explaining a process following the process illustrated in FIGS. 10A, 10B, and 10C, and a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 10 is a diagram for explaining a process following the process illustrated in FIGS. 10A, 10B, and 10C, and a cross-sectional view taken along a line B-B ′ in FIG. 1.
  • FIG. 10 is a diagram for explaining a process following the process illustrated in FIGS. 10A, 10B, and 10C, and a cross-sectional view taken along a line C-C ′ in FIG. 1.
  • FIG. 11 is a diagram for explaining a process following the process illustrated in FIGS. 11A, 11B, and 11C, and a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 12 is a diagram for explaining a process following the process illustrated in FIGS. 11A, 11B, and 11C, and a cross-sectional view taken along a line B-B ′ in FIG. 1.
  • 11A and 11B are views for explaining a process subsequent to the process shown in FIGS. 11A, 11B, and 11C, and a cross-sectional view at a position corresponding to the line C-C ′ of FIG.
  • FIG. 12 is a diagram for explaining a process following the process illustrated in FIGS.
  • FIG. 12 is a diagram for explaining a process following the process illustrated in FIGS. 12A, 12B, and 12C, and a cross-sectional view taken along a line B-B ′ in FIG. 1.
  • FIG. 12 is a diagram for explaining a process following the process illustrated in FIGS. 12A, 12B, and 12C, and a cross-sectional view taken along a line C-C ′ in FIG. 1.
  • FIG. 14 is a diagram for explaining a process following the process illustrated in FIGS. 13A, 13B, and 13C, and a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 14 is a diagram for explaining a process following the process illustrated in FIGS. 13A, 13B, and 13C, and a cross-sectional view taken along a line B-B ′ in FIG. 1.
  • FIG. 14 is a diagram for explaining a process following the process illustrated in FIGS. 13A, 13B, and 13C, and a cross-sectional view at a position corresponding to the line C-C ′ of FIG. 1.
  • FIG. 14 is a view for explaining a step that follows the step shown in FIGS. 14A, 14B, and 14C, and is a cross-sectional view at a position corresponding to the line A-A ′ of FIG. 1.
  • FIG. 14 is a diagram for explaining a process following the process illustrated in FIGS.
  • FIG. 14 is a diagram for explaining a process following the process illustrated in FIGS. 14A, 14B, and 14C, and a cross-sectional view taken along a line C-C ′ in FIG. 1.
  • FIG. 15 is a view for explaining a step that follows the step shown in FIGS. 15A, 15B, and 15C, and is a cross-sectional view at a position corresponding to the line A-A ′ of FIG. 1.
  • FIG. 15 is a view for explaining a step that follows the step shown in FIGS.
  • FIG. 15 is a view for explaining a step that follows the step shown in FIGS. 15A, 15B, and 15C, and is a cross-sectional view at a position corresponding to the line C-C 'of FIG.
  • FIG. 16 is a view for explaining a step following the step shown in FIGS. 16A, 16B, and 16C, and a cross-sectional view taken along a line A-A ′ in FIG. 1.
  • FIG. 16 is a view for explaining a step that follows the step shown in FIGS.
  • FIG. 16 is a diagram for explaining a process following the process illustrated in FIGS. 16A, 16B, and 16C, and a cross-sectional view taken along a line C-C ′ in FIG. 1. It is a figure which shows the plane layout of the semiconductor device which concerns on the 2nd Embodiment of this invention.
  • FIG. 19 is a sectional view taken along line A-A ′ of FIG. 18. It is sectional drawing which shows a part of peripheral circuit part of the semiconductor device which concerns on the 2nd Embodiment of this invention.
  • FIG. 1 is a diagram showing a partial planar layout of the semiconductor device according to the first embodiment of the present invention.
  • a DRAM Dynamic Random Access Memory
  • FIG. 1 shows a part of the peripheral circuit (CMOS circuit).
  • CMOS circuit peripheral circuit
  • the upper side of FIG. 1 is an n-channel transistor (n-Tr) region, and the lower side is a p-channel transistor (p-Tr) region.
  • n-Tr n-channel transistor
  • p-Tr p-channel transistor
  • a plurality of active regions 108 and 109 are defined in each transistor region. Further, the periphery of each active region 108 and 109 is surrounded by an STI (Shallow Trench Isolation) element isolation region.
  • STI Shallow Trench Isolation
  • the STI trench (104 in FIGS. 4A, 4B, and 4C) is filled with a flowable oxide film 106 and a silicon nitride film 107.
  • the fluid oxide film 106 surrounds the active regions 108 and 109 and the silicon nitride film 107 is further disposed around the active regions 108 and 109.
  • the fluid oxide film 106 also exists below the silicon nitride film 107 (the back side in the figure). That is, the fluid oxide film 106 is formed so as to cover the sidewall surface of the STI trench and fill the lower portion of the trench, and the silicon nitride film 107 is formed so as to fill the upper portion of the trench.
  • each active region 108, 109 a transistor is formed.
  • the layout of the transistors in each region differs depending on the conductivity type and application.
  • the gate structure 151 extending from the active regions 108 and 109 to the STI element isolation region is formed.
  • the gate structure 151 includes two types of structural portions as shown by two types of hatching. These boundaries coincide with the boundary between the first gate stack PR resist mask region 152 and the second gate stack PR resist mask region 153.
  • attention is focused on one of the two types of structural portions of the gate structure 151 (one formed on the active region).
  • the gate structure 151 has a structure (HKMG) in which an insulating film having a high dielectric constant (high dielectric constant film, HK film) and an electrode film containing a metal material (metal gate electrode film) are stacked in an active region and a portion subsequent thereto. Structure).
  • HKMG high dielectric constant film
  • metal gate electrode film metal material
  • the area of the region where the gate structure 151 and the fluid oxide film 106 overlap is larger than the area of the region where the gate structure 151 overlaps the STI element isolation region. Remarkably small. This means that the route for supplying oxygen from the STI element isolation region to the high dielectric constant film (113 in FIGS. 2A and 2B.2C) included in the gate structure 151 is narrow. According to this structure, it is difficult for oxygen to diffuse into the channel region of the transistor through the high dielectric constant film and the metal gate electrode film included in the gate structure 151. Therefore, the problem that the threshold value of the transistor fluctuates and the electrical characteristics of the circuit vary does not easily occur.
  • the silicon nitride film 107 filling the STI element isolation region does not fill the entire STI trench, and is 1/5 to 1/2 of the depth, the transistor caused by the stress generated in the silicon nitride film 107 No junction leakage or lowering of reliability occurs.
  • FIG. 1 Next, the structure of the semiconductor device of FIG. 1 will be described in more detail with reference to FIGS. 2A, 2B, and 2C.
  • FIG. 2A, 2B and 2C are a cross-sectional view taken along line A-A ', a cross-sectional view taken along line B-B', and a cross-sectional view taken along line C-C 'in FIG. 1, respectively.
  • these drawings show a state during the manufacture of the semiconductor device. In each figure, the size and aspect ratio of each part are different from those of an actual semiconductor device.
  • a p-type well (PW) 110 is formed in an n-channel transistor formation region on one surface side of the semiconductor substrate 101, and an n-type well (NW) 111 is formed in a p-channel transistor formation region.
  • PW p-type well
  • NW n-type well
  • the STI trench 104 is formed in the semiconductor substrate 101.
  • a pad silicon oxide film 105 is formed on the inner surface of the groove 104.
  • the lower portion of the groove 104 is buried with a fluid oxide film 106 (first insulating film) formed so as to cover the side wall surface of the groove 104.
  • the upper portion of the trench 104 is buried with a silicon nitride film 107 (second insulating film).
  • the STI element isolation region is constituted by the fluid oxide film 106 and the silicon nitride film 107. Active regions 108 and 109 are defined by being surrounded by the STI element isolation region.
  • LDD Lightly Doped Drain
  • S / D source / drain
  • a lower gate insulating film 112 on the active region 108, a lower gate insulating film 112, a first high dielectric constant film 113, a first metal gate electrode film 114, a first (non-doped) amorphous ( ⁇ -Si) are formed.
  • a silicon gate electrode film 115, a third (phosphorus-doped) amorphous silicon gate electrode film 122, a metal laminated film 123, and a hard mask silicon nitride film 124 are laminated. This stacked structure forms a gate structure 151 in the n-channel transistor region.
  • the gate structure 151 in the n-channel transistor region and the gate structure 151 in the p-channel transistor region are different, a lower gate insulating film (112), a gate insulating film (113, 118) having a high dielectric constant, a metal This is common in that the gate electrode film (114, 119) containing the material is provided (the HKMG structure is adopted).
  • the gate structure 151 is also present on the STI element isolation region except for the boundary portion between the P well region and the N well region.
  • the silicon nitride film 107 is mostly in contact with the gate structure 151.
  • an offset spacer 125 and a sidewall spacer 127 are formed on the side surface of the gate structure 151.
  • a liner silicon nitride film 129 is formed so as to cover the gate structure 151 having the spacers 125 and 127 formed on the side surfaces.
  • An interlayer insulating film 130 is formed so as to fill the gate structure 151 covered with the liner silicon nitride film 129. Further, a cap silicon oxide film 131 is formed on the interlayer insulating film 130.
  • a connection plug 132 connected to the S / D region 128 is formed through the cap silicon oxide film 131 and the interlayer insulating film 130, and a wiring 133 connected to the connection plug 132 is formed on the cap silicon oxide film 131. Has been.
  • the fluid oxide film 106 has a thickness that does not cause any adverse effects due to the use of the silicon nitride film 107 in the STI element isolation region.
  • the thickness of the fluid oxide film 106 is 1/2 to 4/5 of the depth of the groove 104 as measured from the bottom surface of the groove 104. Further, it is 10 to 100 nm as measured from the side wall surface of the groove at a position corresponding to the surface of the active regions 108 and 109.
  • the area of the first high dielectric constant film 113 in contact with the fluid oxide film 106 is small.
  • oxygen diffusion from the fluid oxide film 106 to the first high dielectric constant film 113 can be almost eliminated during the annealing process.
  • junction leakage of the transistor and deterioration of reliability due to stress generated in the silicon nitride film 107 do not occur.
  • FIGS. 3A to 17C a method for manufacturing the semiconductor device according to the present embodiment will be described.
  • the figure with the letter A added to the figure number is a cross-sectional view at the position corresponding to the line AA ′ in FIG. 1
  • the figure with B is a cross-section at the position corresponding to the line BB ′.
  • the drawing with C and C is a cross-sectional view at a position corresponding to the line CC ′.
  • a pad silicon oxide film (thermal oxide film) 102 and a hard mask silicon nitride film 103 are sequentially formed on one surface of a semiconductor substrate (silicon substrate) 101 to form an element isolation region.
  • the pad silicon oxide film 102 and the hard mask silicon nitride film 103 on the region are removed by etching.
  • the semiconductor substrate 101 is etched using the hard mask silicon nitride film 103 as a mask to form a trench 104 for STI.
  • a pad silicon oxide film (thermal oxide film) 105 is formed on the inner wall surface and the bottom surface of the groove 104 by a thermal oxidation method. Then, a fluid oxide film 106 as a first insulating film is formed by FCVD so as to cover the entire surface.
  • the fluidized oxide film 106 is formed, for example, by supplying a precursor containing silicon and a precursor containing radical nitrogen simultaneously to a film formation chamber to form a film containing silicon and nitrogen on a semiconductor substrate. And a film containing nitrogen is heat-treated in an ozone atmosphere to change it into a film containing silicon and oxygen.
  • a film containing silicon and nitrogen has fluidity, but a film containing silicon and oxygen obtained by heat treatment (reflow treatment) in an ozone atmosphere loses fluidity.
  • the fluid oxide film 106 is formed so as to cover the side wall surface of the groove 104 and to bury the lower part of the groove 104 in a range of 1/2 to 4/5 of the depth. Due to the fluidity at the time of film formation, the film thickness (in the horizontal direction in the figure) of the fluid oxide film 106 formed on the sidewall surface of the groove 104 gradually increases from the top to the bottom of the groove 104. Yes.
  • the fluid oxide film 106 is formed so that the film thickness of the fluid oxide film 106 formed on the side wall surface of the trench 104 is 10 nm to 100 nm at a position corresponding to the upper surface of the semiconductor substrate 101.
  • the film thickness of the fluid oxide film can be adjusted by changing the film formation conditions and the reflow conditions in accordance with the depth of the groove 104 and the aspect ratio.
  • a silicon nitride film 107 is formed as a second insulating film having a thickness that completely fills the upper portion of the groove 104 so as to cover the fluid oxide film 106.
  • the second insulating film may be an insulating film that does not contain oxygen.
  • the silicon nitride film 107 is etched back until the fluid oxide film 106 is exposed. Then, the exposed upper surfaces of the fluid oxide film 106 and the silicon nitride film 107 are polished by a CMP method to flatten the upper surfaces. This polishing is performed using the hard mask silicon nitride film 103 as a stopper film. Alternatively, further polishing may be continued until a part of the hard mask silicon nitride film 103 is removed as shown in FIGS. 7A, 7B, and 7C.
  • the hard mask silicon nitride film 103 and the pad silicon oxide film 102 are removed using a wet etching method, and the semiconductor substrate 101 is exposed.
  • the STI element isolation region having a two-layer structure in which the groove 104 formed in the semiconductor substrate 101 is buried with the fluid oxide film 106 and the silicon nitride film 107 is completed.
  • the STI element isolation region (106, 107) and the active regions 108, 109 surrounded by the STI element isolation region are partitioned and formed on one surface side of the semiconductor substrate 101.
  • a pad silicon oxide film (not shown) is formed again on the exposed surface of the semiconductor substrate 101. Then, as shown in FIGS. 9A, 9B, and 9C, the p-type well 110 and the n-type well 111 are formed by ion implantation, and subsequently, a channel stopper is formed in each well and channel doping is performed. . Thereafter, the pad oxide film is removed.
  • a lower gate insulating film (silicon oxide film) 112 is formed on the upper surfaces of the active regions 108 and 109 by a thermal oxidation method. Then, a first high dielectric constant film 113, a first metal gate electrode film 114, a first amorphous silicon gate electrode film 115, and a protective silicon oxide film 116 are sequentially stacked.
  • the first high dielectric constant film 113 is an insulating film having a dielectric constant higher than that of a silicon oxide (SiO 2 ) film.
  • SiO 2 silicon oxide
  • an HfO 2 film or an HfSiO film can be used. These films can be formed by an ALD (Atomic Layer Deposition) method.
  • the first metal gate electrode film 114 is made of a material containing metal, and for example, a TiN film or a TaN film can be used. These films can be formed by the ALD method or the PVD (Physical Vapor Deposition) method.
  • the first amorphous silicon gate electrode film 115 is an undoped amorphous silicon gate film, and can be formed by, for example, an LPCVD (Low Pressure Chemical Vapor Deposition) method.
  • LPCVD Low Pressure Chemical Vapor Deposition
  • the protective silicon oxide film 116 can be formed by, for example, a plasma CVD method.
  • a first gate stack lithography resist mask 117 is formed so as to cover the P-well region. Then, the protective silicon oxide film 116 not covered with the first gate stack lithography resist mask 117 is removed by dry etching using the first gate stack lithography resist mask 117 as a mask. Then, the exposed first amorphous silicon gate electrode film 115 and first metal gate electrode film 114 are sequentially removed by wet etching using the first gate stack lithography resist mask 117 and the remaining protective silicon oxide film 116 as a mask. .
  • a first gate stack in which the first high dielectric constant film 113, the first metal gate electrode film 114, and the first amorphous silicon gate electrode film 115 are stacked is formed in the P well region.
  • the first high dielectric constant film 113, the first metal gate electrode film 114, and the first amorphous silicon gate electrode film 115 are formed not only on the active region 108 but also on the STI element isolation region. It is formed to remain.
  • the second high dielectric constant film 118, the second metal gate electrode film 119 and the second amorphous silicon gate are formed.
  • the electrode films 120 are sequentially stacked.
  • the second high dielectric constant film 118 is an insulating film having a dielectric constant higher than that of a silicon oxide (SiO 2 ) film, and is, for example, an Al 2 O 3 film.
  • This Al 2 O 3 film can be formed by the ALD method.
  • the second metal gate electrode film 119 and the second amorphous silicon gate electrode film 120 are formed using the same material and method as the first metal gate electrode film 114 and the first amorphous silicon gate electrode film 115. Can do.
  • a second gate stack lithography resist mask 121 is formed so as to cover the N well region. Then, the second amorphous silicon gate electrode film 120, the second metal gate electrode film 119, and the second high dielectric constant film 118 that are not covered with the second gate stack lithography resist mask 121 are sequentially removed by dry etching. Accordingly, the second gate stack in which the first high dielectric constant film 113, the second high dielectric constant film 118, the second metal gate electrode film 119, and the second amorphous silicon gate electrode film 120 are stacked in the N well region. Is formed.
  • the second gate stack includes not only the active region 109 but also the STI element isolation region, the first high dielectric constant film 113, the second high dielectric constant film 118, the second metal gate electrode film 119, and the second non-dielectric film.
  • a crystalline silicon gate electrode film 120 is formed so as to remain.
  • the distance between the first gate stack and the second gate stack is such that the second amorphous silicon gate electrode film 120, the second metal gate electrode film 119, and the second high gate electrode formed on the side surface of the first gate stack. There may be an interval required for removing the dielectric constant film 118.
  • the exposed first high dielectric constant film 113 and the protective silicon oxide film 116 remaining on the first gate stack Are removed by wet etching.
  • a third amorphous silicon gate electrode film 122 doped with phosphorus, a metal laminated film 123, and a hard mask silicon nitride film 124 are sequentially formed.
  • the third amorphous silicon gate electrode film 122 can be formed by the LPCVD method.
  • the third amorphous silicon gate electrode film 122 is used as a part of the gate electrode common to the n-channel transistor formed in the P-well and the p-channel transistor formed in the N-well, and connected to these. It is also used as a gate wiring.
  • the metal laminated film 123 is configured by laminating a WSi film as an adhesive layer, a WN film as a barrier layer, and a W film.
  • the WSi film can be formed by CVD
  • the WN film can be formed by PVD or ALD
  • the W film can be formed by PVD or CVD.
  • the hard mask silicon nitride film 124 can be formed by a CVD method.
  • the hard mask silicon nitride film 124 is used as a hard mask in the next etching process.
  • a resist mask (not shown) having a desired gate structure and gate wiring pattern is formed on the hard mask silicon nitride film 124, and the resist mask pattern is transferred to the hard mask silicon nitride film 124.
  • the exposed metal laminated film 123, the third amorphous silicon gate electrode film 122, the first The first and second amorphous silicon gate electrode films 115 and 120, the first and second metal gate electrode films 114 and 119, and the second high dielectric constant film 118 are sequentially removed by dry etching. Subsequently, the exposed first high dielectric constant film 113 and lower gate insulating film 112 are removed by wet etching.
  • the gate structure 151 including the gate insulating film (112, 113, 118), the gate electrode (114, 115, 119, 120) and the gate wiring (122, 123) is formed.
  • the gate structure 151 thus obtained has a high dielectric constant film (113, 118) and a metal gate electrode (114, 118) on the STI element isolation region except for the vicinity of the boundary between the p-type well 110 and the n-type well 111. 119) remains.
  • a stacked structure of the fluid oxide film 106 and the silicon nitride film 107 is employed in the STI element isolation region.
  • the thickness of the fluid oxide film 106 at the position corresponding to the upper surface position of the semiconductor substrate 101 (active region) is 10 nm to 100 nm.
  • the width of the fluid oxide film 106 sandwiched between the active regions 108 and 109 and the silicon nitride film 107 is only 10 nm to 100 nm. Therefore, the area where the first high dielectric constant film 113 is actually in contact with the fluid oxide film 106 is small. Therefore, less oxygen is supplied from the STI element isolation region to the high dielectric constant film (113, 118), and oxygen is supplied to the active region via the high dielectric constant film (113, 118) and the metal gate electrode (114, 119). The phenomenon of diffusing up to 108 and 109 and shifting the threshold value of the transistor hardly occurs.
  • the amount of variation in threshold voltage can be made substantially zero, and the dependency of threshold variation on layout can be eliminated.
  • the thickness of the silicon nitride film 107 in the STI element isolation region is 1/5 to 1/2 of the depth of the trench 104, the occurrence of junction leakage of the transistor due to stress and the deterioration of reliability do not occur. .
  • an offset spacer 125 is formed.
  • a silicon nitride film or an oxynitride film can be used.
  • the offset spacer 125 can be formed, for example, by forming a silicon nitride film or the like using an ALD method and performing etch back.
  • n-channel and p-channel LDD regions 126 and halo implantation are performed, respectively.
  • sidewall spacers 127 are formed.
  • a silicon oxide film can be used for the sidewall spacer 127.
  • the sidewall spacer 127 can be formed, for example, by forming a silicon oxide film using the LPCVD method and performing etch back.
  • the S / D regions 128 for n channel and p channel are formed by ion implantation.
  • annealing is performed to activate the impurities.
  • spike annealing annealing is performed at a high temperature for a short time.
  • the contact area between the first high dielectric constant film 113 and the oxide film in which the STI isolation region is buried, that is, the fluid oxide film 106 is very narrow. Therefore, even if the impurity activation annealing is performed, the amount of oxygen diffused from the fluid oxide film 106 to the first high dielectric constant film 113 is very small. Therefore, the threshold value of each transistor hardly changes, and the threshold value can be controlled with high accuracy.
  • a liner silicon nitride film 129 that covers the entire surface is formed. Then, an SOD (Spin On Dielectric) film is formed on the liner silicon nitride film 129 as the interlayer insulating film 130. Further, a cap silicon oxide film 131 is formed on the interlayer insulating film 130.
  • connection plug 132 connected to the S / D region 128 and the wiring 133 connected to the connection plug 132 are formed.
  • W can be used as a material for the connection plug 132 and the wiring 133.
  • a protective film is formed by a known method to complete the semiconductor device.
  • the contact area between the oxide film (fluid oxide film 106) that embeds the STI element isolation region and the first high dielectric constant film 113 formed on the STI element isolation region. Is narrow. For this reason, the amount of oxygen supplied from the fluid oxide film 106 to the first high dielectric constant film 113 is also small, and the possibility of shifting the threshold value of the transistor is low. Thereby, the threshold value of the transistor can be accurately controlled. Further, the layout dependence of the threshold voltage of the transistor can be almost eliminated. Furthermore, problems such as junction leakage and parasitic capacitance that occur when the STI element isolation region is entirely buried with a silicon nitride film do not occur.
  • a semiconductor device according to a second embodiment of the present invention will be described. Also in this embodiment, a DRAM is assumed as a semiconductor device.
  • FIG. 18 is a diagram showing a planar layout of a part (memory cell region) of the semiconductor device according to the present embodiment.
  • 18A is a cross-sectional view taken along line A-A ′ in FIG.
  • FIG. 19 is a cross-sectional view corresponding to a part of the peripheral circuit of the semiconductor device according to the present embodiment.
  • a plurality of first active regions AR1 extending in the X1 direction having an inclination of about 30 degrees to the right with respect to the X direction and repeatedly arranged at an equal pitch in the Y direction On the other hand, a plurality of second active regions AR2 extending in the X2 direction having an inclination of about 30 degrees to the left and repeatedly arranged at an equal pitch in the Y direction are repeatedly arranged in the X direction.
  • Each active region AR (AR1, AR2) is defined by forming an element isolation region in a semiconductor substrate.
  • the element isolation region is formed by embedding a groove formed in the substrate with a fluid oxide film 202. That is, each active region AR is surrounded by the fluid oxide film 202.
  • a plurality of bit lines 220 are arranged so as to overlap the central portions of the first and second active regions AR1 and AR2 arranged in the X direction.
  • a plurality of buried gate electrodes (word lines) 205 are arranged so as to pass through the position where each of the active regions AR1 or AR2 arranged in the Y direction is divided into three.
  • the plurality of bit lines 220 are connected to the sense amplifiers 300 included in the peripheral circuit at their ends.
  • the plurality of gate electrodes 205 are connected to the sub-word driver 400 included in the peripheral circuit at the ends thereof.
  • a bit line diffusion layer 208 connected to the corresponding bit line 220 is formed in the central portion of each active region AR, that is, the portion located between the two buried gate electrodes 205.
  • capacitor diffusion layers 207 are formed at both ends of each active region AR.
  • the capacitor diffusion layer 207 is connected to the lower electrode 225 of the capacitor that is a memory element.
  • Two cell transistors Tr1 and Tr2 are formed in each active region AR.
  • the capacitor diffusion layers 207 at both ends of each active region AR are used as one of source / drain regions.
  • the two transistors Tr1 and Tr2 share the bit line diffusion layer 208 as the other of the source / drain regions.
  • a groove for STI is formed on the surface side of a p-type single crystal silicon substrate (hereinafter referred to as “substrate”) 201, and the groove is buried with a fluid oxide film 202.
  • the fluid oxide film 202 constitutes an element isolation region and partitions the active regions AR1 and AR2.
  • each active region AR two gate trenches 203 are formed.
  • a gate insulating film 204 is formed on the inner surface of each gate trench 203.
  • a buried gate electrode 205 made of a laminated film of titanium nitride (TiN) 205a and tungsten (W) 205b is formed so as to bury the lower portion of the gate trench 203 in contact with the gate insulating film 204.
  • a cap insulating film 206 made of a silicon nitride film is formed in contact with the upper surface of the buried gate electrode 205.
  • a capacitor diffusion layer 207 serving as a drain region is formed on the surface of the substrate 201 between each gate trench 203 and the element isolation region (fluid oxide film 202).
  • a bit line diffusion layer 208 serving as a source region is formed on the surface of the substrate 201 sandwiched between adjacent gate trenches 203.
  • a trench diffusion layer 209 is formed on the surface of the substrate 201 in contact with the bottom surface of each gate trench 203.
  • the adjacent trench diffusion layers 209 in one active region AR are connected to each other by the bit line diffusion layer 208 positioned between them.
  • a mask insulating film 210 made of a silicon oxide film used as a mask when the gate trench 203 is formed is left.
  • the cap insulating film 206 is formed so as to cover the mask insulating film 210.
  • a bit line contact plug 211 made of a silicon film that penetrates the cap insulating film 206 and the mask insulating film 210 and reaches the bit line diffusion layer 208 is provided.
  • the upper surface of the bit line contact plug 211 is flush with the upper surface of the cap insulating film 206.
  • a bit line 220 is formed so as to pass over the bit line contact plug 211 and be in contact with the upper surface thereof.
  • the bit line 220 is made of a laminated film in which a plurality of films containing metal, for example, are laminated.
  • a cover insulating film 221 made of a silicon nitride film is formed on the bit line 220.
  • a sidewall insulating film 222 a made of a silicon nitride film is formed on the side walls of the cover insulating film 221 and the bit line 220.
  • a first interlayer insulating film 223 is formed so as to cover the cover insulating film 221.
  • a plurality of capacitor contact plugs 224 reaching the capacitor diffusion layer 207 are formed through the first interlayer insulating film 223.
  • a capacitor lower electrode 225 is formed in contact with the upper surface of the capacitor contact plug 224.
  • a capacitive insulating film (not shown) is formed on the entire surface so as to cover the lower electrode 225, and an upper electrode 226 is further formed so as to cover the capacitive insulating film.
  • a second interlayer insulating film 227 is formed on the upper electrode 226.
  • a contact plug 228 that penetrates through the second interlayer insulating film 227 and is connected to the upper electrode 226 is formed.
  • An upper wiring 229 including a wiring connected to the contact plug 228 and other wiring is formed on the second interlayer insulating film 227.
  • an STI trench is formed on one surface side of the substrate 201, and the trench is filled with a fluid oxide film 202 and a silicon nitride film 251.
  • the fluid oxide film 202 and the silicon nitride film 251 constitute an element isolation region.
  • the element isolation region defines an NMOS region where an n-channel MOS (Metal-Oxide Semiconductor) transistor is formed and a PMOS region where a p-channel MOS transistor is formed. Since the substrate 201 is p-type, an n-type well (NW) 252 is formed in the PMOS region.
  • MOS Metal-Oxide Semiconductor
  • a lower gate insulating film 253 is formed on the surface of the substrate 201 in each region.
  • a first high dielectric constant film 261, a first metal gate electrode film 262, a non-doped amorphous silicon gate electrode film 263, a phosphorus-doped amorphous silicon gate electrode film 211a, a metal stack A film 220a and a cover insulating film 221 are stacked.
  • This stacked structure 270a is the same structure as the gate structure 151 in the n-channel transistor region of the first embodiment.
  • a first high dielectric constant film 261 a second high dielectric constant film 265, a second metal gate electrode film 266, an amorphous silicon gate electrode film 267, a phosphorus-doped amorphous film.
  • a porous silicon gate electrode film 211a, a metal laminated film 220a, and a cover insulating film 221 are laminated.
  • This stacked structure 270b is the same structure as the gate structure 151 in the p-channel transistor region of the first embodiment.
  • a sidewall insulating film 222b made of a silicon nitride film is formed on the sidewalls of the stacked structures 270a and 270b.
  • a source / drain diffusion layer 281a containing an n-type impurity is formed to constitute a planar type n-channel MOS transistor. Further, a source / drain diffusion layer 281b containing a p-type impurity is formed on the surface of the substrate 201 in the PMOS region, and a planar p-channel MOS transistor is configured.
  • a first interlayer insulating film 223 is formed so as to cover the cover insulating film 221.
  • Contact plugs 224a penetrating the first interlayer insulating film 223 and connected to the source / drain diffusion layers 281a and 281b are formed.
  • a wiring 225a is formed on the first interlayer insulating film 223 so as to be connected to the contact plug 224a.
  • a third interlayer insulating film 227a is formed so as to cover the wiring 225a.
  • a contact plug 228a that penetrates through the third interlayer insulating film 227a and reaches the wiring 225a is formed.
  • An upper wiring 229a is formed so as to be connected to the contact plug 228a.
  • FIG. 18A and FIG. 19 the same reference numerals are given to the films formed at the same time, and alphabetical characters are added to the reference numerals when the functions etc. of the films formed at the same time are different. Are distinguished.
  • the element isolation region is embedded with the fluid oxide film 202 and the silicon nitride film 251 in the same manner as in the first embodiment.
  • the fluid oxide film 202 covers the side wall surface of the STI groove in the peripheral circuit portion and buryes 1/2 to 4/5 of the groove depth.
  • the silicon nitride film 251 fills the remaining space (upper part) of the groove.
  • the distance between the silicon nitride film 251 and the active region at the surface position of the semiconductor substrate is 10 to 100 nm.
  • the formation of the fluid oxide film 202 is performed simultaneously in the memory area (FIG. 18A) and the peripheral area (FIG. 19).
  • the width of the STI groove (first groove) in the memory region is narrower than the width of the STI groove (second groove) in the peripheral region. For this reason, the fluid oxide film 202 completely fills the STI trench in the memory region while burying the lower portion of the STI trench in the peripheral region. Since the fluid oxide film 202 has fluidity at the time of film formation, even if the width of the STI groove is narrow and the aspect ratio is large, the groove can be completely embedded without generating voids.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Abstract

 装置は、活性領域とその活性領域を囲む素子分離領域に区画された基板上に、高誘電率を有する絶縁膜と金属材料を含む電極膜とを順次積層して構成され、活性領域から素子分離領域に渡って延在するゲート構造を有する。素子分離領域は、基板に形成された溝と、溝の側壁面を覆い溝の下部を埋設する第1の絶縁膜と、溝の下部を埋設する第1の絶縁膜を覆って溝の上部を埋設する第2の絶縁膜と、を備えている。

Description

装置及びその製造方法
 本発明は、半導体装置及びその製造方法に関し、特に、HKMG(high-k metal gate)構造のトランジスタを含む半導体装置及びその製造方法に関する。
 トランジスタの低電源電圧化、高速化、高集積化の進展に伴って生じる種々の問題点を解決するために、HKMG構造が提案されている。
 HKMG構造のトランジスタは、用いられる高誘電率(high-k)ゲート絶縁膜を酸素に曝露することによりしきい値がシフトすることが知られている(例えば、特許文献1参照)。
 また、トランジスタの高集積化によりアスペクト比がさらに高まることが予想される素子分離溝に絶縁膜を形成する方法として、FCVD(flowable chemical vapor deposition)法と呼ばれる方法が知られている(例えば、特許文献2参照)。
特開2010-536169号公報 米国特許出願公開第2011/0081782号明細書
 HKMG構造のトランジスタのしきい値をシフトさせる酸素は、高誘電率ゲート絶縁膜に接する酸化物からも供給され得る。
 例えば、半導体装置の製造途中において、高誘電率ゲート絶縁膜が活性領域を規定するSTI(shallow trench isolation)を埋設するシリコン酸化膜に接している状態でアニールが行われれば、そのシリコン酸化膜から高誘電率ゲート絶縁膜に酸素が供給される。高誘電率ゲート絶縁膜に供給された酸素が、トランジスタのチャネルの上の部分にまで拡散すると、そのトランジスタのしきい値はシフトする。具体的には、Nチャネルトランジスタであればしきい値は上昇し、Pチャネルトランジスタであればしきい値は低下する。
 周囲から高誘電率ゲート絶縁膜に供給される酸素によって生じるトランジスタのしきい値のシフト量は、トランジスタのチャネル幅Wに依存し、また、チャネルの面積やSTIのシリコン酸化膜上の高誘電率ゲート絶縁膜の面積にも大きく依存する。
 通常、半導体装置を構成する複数のトランジスタは、種々のレイアウトを混在させて実現される。そのため、レイアウトに応じてトランジスタのシフト量が異なることも起こり得る。また、CMOS(Complementary Metal-Oxide Semiconductor)回路では、NチャネルトランジスタとPチャネルトランジスタが混在する。これらのことから、関連する半導体装置には、しきい値電圧の制御が困難であるという問題点がある。
 STIを埋設する絶縁膜として窒化膜を用いれば、高誘電率ゲート絶縁膜への酸素供給をなくすことができる。しかし、窒化膜と活性領域とが近づきすぎるとトランジスタの信頼性が低下するという別の問題が生じるため実用的ではない。
 本発明の一形態に係る装置は、活性領域と前記活性領域を囲む素子分離領域に区画された基板上に、高誘電率を有する絶縁膜と金属材料を含む電極膜とを順次積層して構成されるゲート構造が、前記活性領域から前記素子分離領域に渡って延在する半導体装置であって、前記素子分離領域は、基板に形成された溝と、前記溝の側壁面を覆い前記溝の下部を埋設する第1の絶縁膜と、前記溝の下部を埋設する前記第1の絶縁膜を覆って前記溝の上部を埋設する第2の絶縁膜と、を備えていることを特徴とする。
 また、本発明の他の形態に係る装置は、メモリセル領域と周辺回路領域に区画された基板と、前記メモリセル領域に規定され、第1の溝を含む第1の素子分離領域と、前記周辺回路領域に規定された活性領域と、前記周辺回路領域に規定され、前記活性領域を囲む第2の溝を含む第2の素子分離領域と、前記第1の溝を埋設する第1の部分と、前記第2の溝の側壁面を覆い前記第2の溝の下部を埋設する第2の部分とを含む第1の絶縁膜と、前記第2の溝の下部を埋設する前記第1の絶縁膜を覆って前記第2の溝の上部を埋設する第2の絶縁膜と、高誘電率を有する絶縁膜および金属材料を含む電極膜を前記基板上に順次積層して形成され、前記活性領域から第2の素子分離領域に渡って延在するゲート構造と、を備えることを特徴とする。
 本発明のさらに他の形態に係る装置の製造方法は、基板上にストッパー膜を形成する工程と、前記ストッパー膜をパターンニングすると共に前記基板に溝を形成する工程と、FCVD法により、前記溝の側壁面を覆うと共に前記溝の下部を埋設する第1の絶縁膜を形成する工程と、前記第1の絶縁膜を覆うと共に前記溝の上部を埋設する第2の絶縁膜を形成する工程と、前記ストッパー膜と前記第2の絶縁膜のそれぞれの上表面が概略平坦面を成すように研磨する工程と、前記ストッパー膜を除去する工程と、高誘電率を有するゲート絶縁膜を形成する工程と、前記ゲート絶縁膜を覆う金属材料を含有するゲート電極を形成する工程を備えること、を特徴とする。
 本発明によれば、HKMG構造を採用するトランジスタの高誘電率膜への酸素供給経路を削減し、トランジスタのしきい値電圧のシフトを抑制することができる。これにより、トランジスタのしきい値電圧を精度よく制御でき、しきい値変動のレイアウト依存性を無くすことができ、高信頼性の半導体装置を得ることができる。
本発明の第1の実施の形態に係る半導体装置の平面レイアウトを示す図である。 図1のA-A’線断面図である。 図1のB-B’線断面図である。 図1のC-C’線断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図3A,3B及び3Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図3A,3B及び3Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図3A,3B及び3Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図4A,4B及び4Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図4A,4B及び4Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図4A,4B及び4Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図5A,5B及び5Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図5A,5B及び5Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図5A,5B及び5Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図6A,6B及び6Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図6A,6B及び6Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図6A,6B及び6Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図7A,7B及び7Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図7A,7B及び7Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図7A,7B及び7Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図8A,8B及び8Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図8A,8B及び8Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図8A,8B及び8Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図9A,9B及び9Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図9A,9B及び9Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図9A,9B及び9Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図10A,10B及び10Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図10A,10B及び10Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図10A,10B及び10Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図11A,11B及び11Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図11A,11B及び11Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図11A,11B及び11Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図12A,12B及び12Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図12A,12B及び12Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図12A,12B及び12Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図13A,13B及び13Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図13A,13B及び13Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図13A,13B及び13Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図14A,14B及び14Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図14A,14B及び14Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図14A,14B及び14Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図15A,15B及び15Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図15A,15B及び15Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図15A,15B及び15Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 図16A,16B及び16Cに示す工程に続く工程を説明するための図であって、図1のA-A’線に対応する位置での断面図である。 図16A,16B及び16Cに示す工程に続く工程を説明するための図であって、図1のB-B’線に対応する位置での断面図である。 図16A,16B及び16Cに示す工程に続く工程を説明するための図であって、図1のC-C’線に対応する位置での断面図である。 本発明の第2の実施の形態に係る半導体装置の平面レイアウトを示す図である。 図18のA-A’線断面図である。 本発明の第2の実施の形態に係る半導体装置の周辺回路部の一部を示す断面図である。
 以下、図面を参照して、本発明の実施の形態について詳細に説明する。
 図1は、本発明の第1の実施の形態に係る半導体装置の一部の平面レイアウトを示す図である。ここでは、半導体装置としてDRAM(Dynamic Random Access Memory)を想定し、図1はその周辺回路の一部(CMOS回路)を示している。しかしながら、本発明は、DRAMに限らず、他の様々な半導体装置に適用可能である。
 図1の上側はnチャネルトランジスタ(n-Tr)領域、下側はpチャネルトランジスタ(p-Tr)領域である。各トランジスタ領域には、複数の活性領域108,109が規定されている。また、各活性領域108,109の周囲は、STI(Shallow Trench Isolation)素子分離領域に囲まれている。
 STI素子分離領域は、STI用の溝(図4A,4B,4Cの104)を流動性(flowable)酸化膜106と窒化シリコン膜107で埋め込み構成されている。図1では、流動性酸化膜106が活性領域108,109の周囲を囲み、窒化シリコン膜107がさらにその周囲に配置されているように見える。しかし、窒化シリコン膜107の下側(図の奥側)にも流動性酸化膜106は存在している。つまり、流動性酸化膜106は、STI用の溝の側壁面を覆うとともに溝の下部を埋めるように形成され、窒化シリコン膜107はその上に溝の上部を埋めるように形成されている。
 各活性領域108,109には、トランジスタが形成される。各領域におけるトランジスタのレイアウトは、その導電型や用途等に応じて異なる。
 また、各活性領域108,109からSTI素子分離領域に渡って延在するゲート構造体151が形成されている。ゲート構造体151は、2種類のハッチングで示すように2種類の構造部分を含んでいる。それらの境界は、第1ゲートスタックPRレジストマスク領域152と第2ゲートスタックPRレジストマスク領域153の境界と一致する。ここでは、ゲート構造体151の2種類の構造部分のうちの一方(活性領域上に形成される方)に着目する。ゲート構造体151は、活性領域及びそれに続く部分において、高誘電率を有する絶縁膜(高誘電率膜、HK膜)と金属材料を含む電極膜(メタルゲート電極膜)とを積層した構造(HKMG構造)を採用している。但し、厳密には、ゲート構造体151のnチャネルトランジスタ領域に形成された部分とpチャネルトランジスタ領域に形成された部分とは、その構成がわずかに相違するが、この相違は、本発明に直接関係しない。
 図1から理解されるように、本実施の形態では、ゲート構造体151と流動性酸化膜106とが重なる領域の面積は、ゲート構造体151がSTI素子分離領域と重なる領域の面積に比べて著しく小さい。これは、STI素子分離領域から、ゲート構造体151に含まれる高誘電率膜(図2A,2B.2Cの113)へ酸素を供給するルートが狭いことを意味する。この構造によれば、ゲート構造体151に含まれる高誘電率膜及びメタルゲート電極膜を介して酸素がトランジスタのチャネル領域にまで拡散する事態は起こりにくい。それゆえ、トランジスタのしきい値が変動し、回路の電気特性がばらつくという問題も生じにくい。また、STI素子分離領域を埋める窒化シリコン膜107は、STI用の溝を全て埋め込むものではなく、深さの1/5~1/2であるので、窒化シリコン膜107に生じる応力に起因するトランジスタの接合リークや信頼性の低下も生じない。
 次に、図2A,2B及び2Cを参照して、図1の半導体装置の構造についてさらに詳細に説明する。
 図2A,2B及び2Cは、それぞれ、図1におけるA-A’線断面図、B-B’線断面図、C-C’線断面図である。但し、これらの図は、半導体装置の製造途中の状態を示している。また、各図において、各部のサイズや縦横比率は実際の半導体装置のものとは異なっている。
 図2A,2B及び2Cを参照すると、半導体基板101の一面側のnチャネルトランジスタ形成領域にはp型ウェル(PW)110が、pチャネルトランジスタ形成領域にはn型ウェル(NW)111がそれぞれ形成されている。
 また、半導体基板101には、STI用の溝104が形成されている。溝104の内表面には、パッド酸化シリコン膜105が形成されている。そして、溝104の下部は、溝104の側壁面を覆うように形成された流動性酸化膜106(第1の絶縁膜)で埋め込まれている。また、溝104の上部は、窒化シリコン膜107(第2の絶縁膜)で埋め込まれている。
 流動性酸化膜106及び窒化シリコン膜107によりSTI素子分離領域が構成される。そして、STI素子分離領域に囲まれて活性領域108,109が規定される。
 活性領域108,109には、LDD(Lightly Doped Drain)領域126及びS/D(ソース/ドレイン)領域128がイオン注入により形成されている。
 図2A及び2Cを参照すると、活性領域108の上には、下層ゲート絶縁膜112、第1高誘電率膜113、第1メタルゲート電極膜114、第1(ノンドープ)非晶質(α-Si)シリコンゲート電極膜115、第3(リンドープ)非晶質シリコンゲート電極膜122、メタル積層膜123、及びハードマスク窒化シリコン膜124が積層形成されている。この積層構造が、nチャネルトランジスタ領域におけるゲート構造体151を構成する。
 また、図2B及び2Cを参照すると、活性領域109の上には、下層ゲート絶縁膜112、第1高誘電率膜113、第2高誘電率膜118、第2メタルゲート電極膜119、第2非晶質シリコンゲート電極膜120、第3非晶質シリコンゲート電極膜122、メタル積層膜123、及びハードマスク窒化シリコン膜124が積層形成されている。この積層構造が、pチャネルトランジスタ領域におけるゲート構造体151を構成する。
 nチャネルトランジスタ領域におけるゲート構造体151とpチャネルトランジスタ領域におけるゲート構造体151は、その詳細は異なるけれども、下層ゲート絶縁膜(112)、高誘電率を有するゲート絶縁膜(113,118)、金属材料を含有するゲート電極膜(114,119)を備える点(HKMG構造を採用する点)で共通している。
 図2Cに見られるように、ゲート構造体151は、Pウェル領域とNウェル領域の境界部分を除いて、STI素子分離領域の上にも存在する。STI素子分離領域において、ゲート構造体151に接しているのは、その大部分が窒化シリコン膜107である。
 再び、図2A,2B及び2Cを参照すると、ゲート構造体151の側面には、オフセットスペーサ125及びサイドウォールスペーサ127が形成されている。
 側面にスペーサ125,127が形成されたゲート構造体151を覆うように、ライナー窒化シリコン膜129が形成されている。また、ライナー窒化シリコン膜129で覆われたゲート構造体151を埋め込むように層間絶縁膜130が形成されている。さらに、層間絶縁膜130の上には、キャップ酸化シリコン膜131が形成されている。
 S/D領域128に接続される接続プラグ132が、キャップ酸化シリコン膜131及び層間絶縁膜130を貫いて形成され、さらに、接続プラグ132に接続される配線133がキャップ酸化シリコン膜131上に形成されている。
 以上のように構成された半導体装置において、流動性酸化膜106は、STI素子分離領域に窒化シリコン膜107を用いることによる弊害が生じないような膜厚とする。具体的には、流動性酸化膜106の膜厚は、溝104の底面から測って、溝104の深さの1/2乃至4/5とする。また、活性領域108,109の表面に対応する位置で、溝の側壁面から測って、10乃至100nmとする。
 上記の構成により、本実施の形態では、流動性酸化膜106に接する第1高誘電率膜113の面積は小さい。その結果、アニール処理の際、流動性酸化膜106から第1高誘電率膜113への酸素の拡散をほとんど無くすることができる。また、窒化シリコン膜107に生じる応力に起因するトランジスタの接合リークや信頼性の低下も生じない。
 次に、図3A乃至図17Cを参照して、本実施の形態に係る半導体装置の製造方法について説明する。ここで、図番にアルファベットAを付した図は、図1のA-A’線に対応する位置での断面図、Bを付した図は、B-B’線に対応する位置での断面図、Cを付した図は、C-C’線に対応する位置での断面図である。
 まず、図3A、3B及び3Cに示すように、半導体基板(シリコン基板)101の一面にパッド酸化シリコン膜(熱酸化膜)102とハードマスク窒化シリコン膜103を順次形成し、素子分離領域となる領域上のパッド酸化シリコン膜102とハードマスク窒化シリコン膜103をエッチング除去する。
 次に、図4A、4B及び4Cに示すように、ハードマスク窒化シリコン膜103をマスクとして、半導体基板101をエッチングし、STI用の溝104を形成する。
 次に、図5A、5B及び5Cに示すように、熱酸化法により溝104の内壁面及び底面にパッド酸化シリコン膜(熱酸化膜)105を形成する。それから、全面を覆うように第1の絶縁膜としての流動性酸化膜106をFCVD法により形成する。
 流動性酸化膜106は、例えば、シリコンを含む前駆体とラジカル窒素を含む前駆体とを同時に成膜室に供給して半導体基板上にシリコンと窒素とを含む膜を形成し、形成されたシリコンと窒素を含む膜をオゾン雰囲気下で熱処理してシリコンと酸素を含む膜に変化させることより得ることができる。シリコンと窒素を含む膜は流動性を有しているが、オゾン雰囲気下で熱処理(リフロー処理)して得られるシリコンと酸素を含む膜は、流動性を失っている。
 流動性酸化膜106は、溝104の側壁面を覆い、かつ、溝104の下部を、その深さの1/2乃至4/5の範囲で埋設するように形成される。成膜時の流動性に起因して、溝104の側壁面に形成される流動性酸化膜106の膜厚(図の左右方向)は、溝104の上部から底部に向かって徐々に厚くなっている。溝104の側壁面に形成される流動性酸化膜106の膜厚が、半導体基板101の上面に相当する位置において、10nm乃至100nmとなるように、流動性酸化膜106を形成する。流動性酸化膜の膜厚は、溝104の深さやアスペクト比に応じて、成膜条件やリフロー条件を変更することにより調整することができる。
 次に、図6A、6B及び6Cに示すように、流動性酸化膜106を覆うように、溝104の上部を完全に埋め込む厚さの第2の絶縁膜として窒化シリコン膜107を形成する。第2の絶縁膜は酸素を含まない絶縁膜であればよい。
 次に、流動性酸化膜106が露出するまで、窒化シリコン膜107をエッチバックする。そして、露出した流動性酸化膜106及び窒化シリコン膜107の上面を、CMP法を用いて研磨し、上面を平坦化する。この研磨は、ハードマスク窒化シリコン膜103をストッパー膜として行う。あるいは、さらに研磨を継続して、図7A、7B及び7Cに示すように、ハードマスク窒化シリコン膜103の一部が除去されるまで行ってもよい。
 次に、図8A、8B及び8Cに示すように、ウエットエッチング法を用いてハードマスク窒化シリコン膜103とパッド酸化シリコン膜102を除去し、半導体基板101を露出させる。
 以上のようにして、半導体基板101に形成された溝104を流動性酸化膜106と窒化シリコン膜107で埋設した2層構造のSTI素子分離領域が完成する。こうして、半導体基板101の一面側に、STI素子分離領域(106,107)と、このSTI素子分離領域で囲まれた活性領域108,109が区画形成される。
 次に、露出した半導体基板101の表面に再びパッド酸化シリコン膜(図示せず)を形成する。そして、イオン注入法により、図9A、9B及び9Cに示すように、p型ウェル110及びn型ウェル111の形成をおこない、続いて、各ウェル内へのチャネルストッパーの形成、及びチャネルドーピングを行う。その後、パッド酸化膜を除去する。
 次に、図10A、10B及び10Cに示すように、活性領域108,109の上面に熱酸化法により下層ゲート絶縁膜(酸化シリコン膜)112を形成する。それから、第1高誘電率膜113、第1メタルゲート電極膜114、第1非晶質シリコンゲート電極膜115及び保護酸化シリコン膜116を順次積層形成する。
 第1高誘電率膜113は、酸化シリコン(SiO)膜よりも高い誘電率を有する絶縁膜であって、例えば、HfO膜あるいはHfSiO膜を用いることができる。これらの膜は、ALD(Atomic Layer Deposition)法で形成することができる。
 第1メタルゲート電極膜114は、金属を含む材料からなり、例えば、TiN膜あるいはTaN膜を用いることができる。これらの膜は、ALD法又はPVD(Physical Vapor Deposition)法により形成することができる。
 第1非晶質シリコンゲート電極膜115は、ノードープの非晶質シリコンゲート膜であって、例えば、LPCVD(Low Pressure Chemical Vapor Deposition)法により形成することができる。
 保護酸化シリコン膜116は、例えば、プラズマCVD法により形成することができる。
 次に、図11A、11B及び11Cに示すように、Pウェル領域を覆うように第1ゲートスタックリソグラフィレジストマスク117を形成する。そして、第1ゲートスタックリソグラフィレジストマスク117をマスクとするドライエッチングにより、第1ゲートスタックリソグラフィレジストマスク117で覆われていない保護酸化シリコン膜116を除去する。それから、第1ゲートスタックリソグラフィレジストマスク117と残存する保護酸化シリコン膜116をマスクとするウエットエッチングにより、露出する第1非晶質シリコンゲート電極膜115及び第1メタルゲート電極膜114を順次除去する。これにより、Pウェル領域に、第1高誘電率膜113、第1メタルゲート電極膜114及び第1非晶質シリコンゲート電極膜115が積層された第1ゲートスタックが形成される。なお、第1ゲートスタックは、活性領域108のみならずSTI素子分離領域の上にも、第1高誘電率膜113、第1メタルゲート電極膜114及び第1非晶質シリコンゲート電極膜115が残存するように形成される。
 次に、第1ゲートスタックリソグラフィレジストマスク117を除去した後、図12A、12B及び12Cに示すように、第2高誘電率膜118、第2メタルゲート電極膜119及び第2非晶質シリコンゲート電極膜120を順次積層する。
 第2高誘電率膜118は、シリコン酸化(SiO)膜よりも高い誘電率を有する絶縁膜であって、例えば、Al膜である。このAl膜は、ALD法で形成することができる。
 第2メタルゲート電極膜119及び第2非晶質シリコンゲート電極膜120は、第1メタルゲート電極膜114及び第1非晶質シリコンゲート電極膜115と同様の材料、方法を用いて形成することができる。
 次に、図13A、13B及び13Cに示すように、Nウェル領域を覆うように第2ゲートスタックリソグラフィレジストマスク121を形成する。そして、ドライエッチングにより、第2ゲートスタックリソグラフィレジストマスク121で覆われていない第2非晶質シリコンゲート電極膜120、第2メタルゲート電極膜119及び第2高誘電率膜118を順次除去する。これにより、Nウェル領域に、第1高誘電率膜113、第2高誘電率膜118、第2メタルゲート電極膜119及び第2非晶質シリコンゲート電極膜120が積層された第2ゲートスタックが形成される。なお、第2ゲートスタックは、活性領域109のみならずSTI素子分離領域の上にも、第1高誘電率膜113、第2高誘電率膜118、第2メタルゲート電極膜119及び第2非晶質シリコンゲート電極膜120が残存するように形成される。また、第1のゲートスタックと第2のゲートスタックとの間隔は、第1ゲートスタックの側面に形成された第2非晶質シリコンゲート電極膜120、第2メタルゲート電極膜119及び第2高誘電率膜118を除去するために必要とされる間隔があればよい。
 次に、第2ゲートスタックリソグラフィレジストマスク121を剥離した後、図14A、14B及び14Cに示すように、露出する第1高誘電率膜113と第1ゲートスタック上に残る保護酸化シリコン膜116とを、ウエットエッチングにより除去する。
 次に、図15A、15B及び15Cに示すように、リンをドープした第3非晶質シリコンゲート電極膜122、メタル積層膜123及びハードマスク窒化シリコン膜124順次形成する。
 第3非晶質シリコンゲート電極膜122は、LPCVD法により形成することができる。第3非晶質シリコンゲート電極膜122は、Pウェル内に形成されるnチャネルトランジスタとNウェル内に形成されるpチャネルトランジスタに共通のゲート電極の一部として使用されるとともに、これらに接続されるゲート配線としても使用される。
 メタル積層膜123は、接着層としてのWSi膜と、バリア層としてのWN膜と、W膜とを積層して構成される。WSi膜はCVD法により、WN膜はPVDあるいはALD法により、W膜はPVD法あるいはCVD法により、それぞれ形成することができる。
 ハードマスク窒化シリコン膜124は、CVD法で形成することができる。ハードマスク窒化シリコン膜124は、次工程のエッチングの際にハードマスクとして利用される。
 次に、ハードマスク窒化シリコン膜124の上に所望のゲート構造及びゲート配線のパターンを持つレジストマスク(図示せず)を形成し、レジストマスクのパターンをハードマスク窒化シリコン膜124に転写する。それから、レジストマスクとハードマスク窒化シリコン膜124からなるハードマスクとをマスクとして、図16A,16B及び16Cに示すように、露出するメタル積層膜123、第3非晶質シリコンゲート電極膜122、第1及び第2非晶質シリコンゲート電極膜115,120、第1及び第2メタルゲート電極膜114、119、及び第2高誘電率膜118をドライエッチングにより順次除去する。続いて、露出する第1高誘電率膜113及び下層ゲート絶縁膜112をウエットエッチングで除去する。
 以上により、ゲート絶縁膜(112、113,118)、ゲート電極(114、115,119,120)及びゲート配線(122,123)を含むゲート構造体151が形成される。
 こうして得られたゲート構造体151は、p型ウェル110とn型ウェル111との境界近傍を除き、STI素子分離領域の上にも高誘電率膜(113、118)及びメタルゲート電極(114,119)が残存する構造である。しかしながら、STI素子分離領域には、流動性酸化膜106と窒化シリコン膜107の積層構造が採用されている。そして、上述したように、半導体基板101(活性領域)の上面位置に相当する位置での、流動性酸化膜106の膜厚は、10nm乃至100nmとしてある。換言すると、平面視において、活性領域108,109と窒化シリコン膜107との間に挟まれた流動性酸化膜106の幅は、10nm乃至100nmでしかない。したがって、実際に第1高誘電率膜113が流動性酸化膜106に接している面積は狭い。それゆえ、STI素子分離領域から高誘電率膜(113、118)に供給される酸素は少なく、高誘電率膜(113、118)及びメタルゲート電極(114,119)を介して酸素が活性領域108,109にまで拡散し、トランジスタのしきい値をシフトさせるという現象は起こりにくい。こうして、本実施の形態では、しきい値電圧の変動量をほぼゼロにでき、しきい値変動のレイアウト依存性をなくすことができる。しかも、STI素子分離領域の窒化シリコン膜107の厚みは、溝104の深さの1/5乃至1/2であるため、ストレスに起因するトランジスタの接合リークの発生や信頼性の低下も生じない。
 次に、図17A,17B及び17Cに示すように、オフセットスペーサ125を形成する。オフセットスペーサ125には、窒化シリコン膜や酸窒化膜を用いることができる。オフセットスペーサ125は、例えば、ALD法を用いて窒化シリコン膜等を形成し、エッチバックすることにより形成することができる。
 続いて、nチャネル用及びpチャネル用のLDD領域126の形成とハロー注入をそれぞれ行う。
 次に、サイドウォールスペーサ127を形成する。サイドウォールスペーサ127には、酸化シリコン膜を用いることができる。サイドウォールスペーサ127は、例えば、LPCVD法を用いて酸化シリコン膜を形成し、エッチバックすることにより形成することができる。
 続いて、nチャネル用及びpチャネル用のS/D領域128の形成をイオン注入法によりそれぞれ行う。
 次に、不純物を活性化するためのアニールを行う。ここでは、スパイクアニールと呼ばれると高温かつ短時間のアニールを行う。
 上述したように、本実施の形態では、第1高誘電率膜113とSTI分離領域を埋設する酸化膜、即ち流動性酸化膜106との接触面積は非常に狭い。そのため、不純物活性化アニールを行っても、流動性酸化膜106から第1高誘電率膜113へ拡散する酸素の量は非常に少ない。それゆえ、各トランジスタのしきい値の変動はほとんどおこらず、しきい値を精度良く制御することができる。
 次に、図2A,2B及び2Cに示すように、全面を覆うライナー窒化シリコン膜129を形成する。そして、ライナー窒化シリコン膜129の上に層間絶縁膜130としてSOD(Spin On Dielectric)膜を形成する。さらに、層間絶縁膜130の上にキャップ酸化シリコン膜131を形成する。
 次に、S/D領域128に接続される接続プラグ132及び接続プラグ132に接続される配線133を形成する。これらの接続プラグ132及び配線133の材料としてWを用いることができる。
 この後、公知の方法で、保護膜の形成等を行い半導体装置が完成する。
 以上説明したように、本実施の形態では、STI素子分離領域を埋設する酸化膜(流動性酸化膜106)と、STI素子分離領域上に形成される第1高誘電率膜113との接触面積は狭い。このため、流動性酸化膜106から第1高誘電率膜113に供給される酸素も少なく、トランジスタのしきい値をシフトさせる可能性が低い。これにより、精度良くトランジスタのしきい値を制御することができる。また、トランジスタのしきい値電圧のレイアウト依存性もほとんど無くすことができる。さらに、STI素子分離領域を全て窒化シリコン膜で埋設した場合に生じるような接合リークや寄生容量等の問題も生じない。
 次に、本発明の第2の実施の形態に係る半導体装置について説明する。本実施の形態においても半導体装置としてDRAMを想定している。
 図18は、本実施の形態に係る半導体装置の一部(メモリセル領域)の平面レイアウトを示す図である。また、図18Aは、図18におけるA-A’線断面図である。さらに、図19は、本実施の形態に係る半導体装置の周辺回路の一部に対応する断面図である。
 図18を参照すると、X方向に対して右に約30度の傾きを有するX1方向に延在し、Y方向に等ピッチで繰り返し配置された複数の第1の活性領域AR1と、X方向に対して左に約30度の傾きを有するX2方向に延在し、Y方向に等ピッチで繰り返し配置された複数の第2の活性領域AR2とが、X方向に繰り返し配置されている。
 各活性領域AR(AR1,AR2)は、半導体基板に素子分離領域を形成することにより規定される。素子分離領域は、基板に形成した溝を流動性酸化膜202で埋め込むことにより形成される。即ち、各活性領域ARは、その周囲を流動性酸化膜202で囲まれている。
 X方向に配列された第1及び第2の活性領域AR1、AR2の中央部と重なりを持つように、複数のビット線220が配置されている。また、Y方向に配列された活性領域AR1またはAR2のそれぞれを3分割する位置を通過するように、複数の埋め込みゲート電極(ワード線)205が配置されている。
 複数のビット線220は、その端部で周辺回路に含まれるセンスアンプ300に接続されている。また、複数のゲート電極205は、その端部で周辺回路に含まれるサブワードドライバー400に接続されている。
 各活性領域ARの中央部、即ち、2本の埋め込みゲート電極205の間に位置する部分には、対応するビット線220に接続されるビット線拡散層208が形成されている。また、各活性領域ARの両端部には、キャパシタ拡散層207が形成されている。キャパシタ拡散層207は、記憶素子であるキャパシタの下部電極225に接続される。
 各活性領域ARには、2つのセルトランジスタTr1及びTr2が形成される。2つのトランジスタTr1及びTr2は、各活性領域ARの両端部のキャパシタ拡散層207を、それぞれソース・ドレイン領域の一方とする。また、2つのトランジスタTr1及びTr2は、ビット線拡散層208をソース・ドレイン領域の他方として共用する。
 図18Aを参照すると、p型単結晶シリコン基板(以下、「基板」と記す)201の表面側にSTI用の溝が形成され、その溝は流動性酸化膜202で埋め込まれている。流動性酸化膜202は、素子分離領域を構成し、活性領域AR1およびAR2を区画する。
 各活性領域ARには、2つのゲートトレンチ203が形成されている。各ゲートトレンチ203の内面にはゲート絶縁膜204が形成されている。また、ゲート絶縁膜204に接してゲートトレンチ203の下部を埋設するように、窒化チタン(TiN)205aとタングステン(W)205bの積層膜からなる埋め込みゲート電極205が形成されている。さらに、埋め込みゲート電極205の上面に接して窒化シリコン膜からなるキャップ絶縁膜206が形成されている。
 各ゲートトレンチ203と素子分離領域(流動性酸化膜202)との間の基板201の表面には、ドレイン領域となるキャパシタ拡散層207が形成されている。また、隣り合うゲートトレンチ203で挟まれた基板201の表面には、ソース領域となるビット線拡散層208が形成されている。
 各ゲートトレンチ203の底面と接する基板201の表面にはトレンチ拡散層209が形成されている。一つ活性領域AR内で隣接するトレンチ拡散層209は、それらの間に位置するビット線拡散層208によって相互に接続された状態となっている。
 流動性酸化膜202の上面およびキャパシタ拡散層207が形成された基板201の上面には、ゲートトレンチ203を形成する際にマスクとして用いた酸化シリコン膜からなるマスク絶縁膜210が残されている。キャップ絶縁膜206は、このマスク絶縁膜210を覆うように形成される。
 キャップ絶縁膜206及びマスク絶縁膜210を貫き、ビット線拡散層208に達するシリコン膜からなるビット線コンタクトプラグ211が設けられている。ビット線コンタクトプラグ211の上面はキャップ絶縁膜206の上面と面一となっている。
 ビット線コンタクトプラグ211の上を通過し、その上面に接するように、ビット線220が形成されている。ビット線220は、例えば金属を含む複数の膜を積層した積層膜からなる。
 ビット線220上には窒化シリコン膜からなるカバー絶縁膜221が形成されている。カバー絶縁膜221及びビット線220の側壁には、窒化シリコン膜からなるサイドウォール絶縁膜222aが形成されている。
 カバー絶縁膜221を覆うように第1層間絶縁膜223が形成されている。第1層間絶縁膜223を貫いて、それぞれキャパシタ拡散層207に達する複数のキャパシタコンタクトプラグ224が形成されている。キャパシタコンタクトプラグ224の上面に接するキャパシタの下部電極225が形成されている。下部電極225を覆うように、全面に容量絶縁膜(図示せず)が形成され、さらに、容量絶縁膜を覆うように上部電極226が形成されている。上部電極226上には第2層間絶縁膜227が形成されている。第2の層間絶縁膜227を貫いて上部電極226に接続されるコンタクトプラグ228が形成されている。コンタクトプラグ228に接続される配線及びその他の配線を含む上部配線229が、第2層間絶縁膜227の上に形成されている。
 図19を参照すると、周辺回路部では、基板201の一面側にSTI用の溝が形成され、その溝は、流動性酸化膜202及び窒化シリコン膜251により埋め込まれている。流動性酸化膜202及び窒化シリコン膜251は、素子分離領域を構成する。また、素子分離領域は、nチャネルMOS(Metal-Oxide Semiconductor)トランジスタが形成されるNMOS領域とpチャネルMOSトランジスタが形成されるPMOS領域を規定する。基板201がp型なので、PMOS領域にはn型ウェル(NW)252が形成されている。
 各領域における基板201の表面に下層ゲート絶縁膜253が形成されている。NMOS領域の下層ゲート絶縁膜253上には、第1高誘電率膜261、第1メタルゲート電極膜262、ノンドープ非晶質シリコンゲート電極膜263、リンドープ非晶質シリコンゲート電極膜211a、メタル積層膜220a、及びカバー絶縁膜221が積層形成されている。この積層構造270aは、第1の実施の形態のnチャネルトランジスタ領域におけるゲート構造体151と同一の構造である。
 また、PMOS領域の下層ゲート絶縁膜253上には、第1高誘電率膜261、第2高誘電率膜265、第2メタルゲート電極膜266、非晶質シリコンゲート電極膜267、リンドープ非晶質シリコンゲート電極膜211a、メタル積層膜220a、及びカバー絶縁膜221が積層形成されている。この積層構造270bは、第1の実施の形態のpチャネルトランジスタ領域におけるゲート構造体151と同一の構造である。
 積層構造270a、270bの側壁には、窒化シリコン膜からなるサイドウォール絶縁膜222bが形成されている。
 NMOS領域の基板201表面には、n型不純物を含有するソース/ドレイン拡散層281aが形成され、プレーナ型のnチャネルMOSトランジスタが構成されている。また、PMOS領域の基板201表面にはp型不純物を含有するソース/ドレイン拡散層281bが形成され、プレーナ型のpチャネルMOSトランジスタが構成されている。
 カバー絶縁膜221を覆うように、第1層間絶縁膜223が形成されている。第1層間絶縁膜223を貫き、ソース/ドレイン拡散層281a、281bのそれぞれに接続されるコンタクトプラグ224aが形成されている。また、第1層間絶縁膜223の上には、コンタクトプラグ224aに接続されるように、配線225aが形成されている。配線225aを覆うように、第3層間絶縁膜227aが形成されている。第3層間絶縁膜227aを貫き、配線225aに達するコンタクトプラグ228aが形成されている。コンタクトプラグ228aに接続するように上部配線229aが形成されている。
 以上のように構成された半導体装置の製造方法についての詳細な説明は省略する。なお、図18Aと図19において、同時に形成される膜等には、同一の参照番号が付され、同時に形成された膜等であっても機能等が異なる場合には、参照番号にアルファベットを加えて区別している。
 本実施の形態に係る半導体装置においても、図19に示す周辺回路部では、第1の実施の形態と同様に、素子分離領域が流動性酸化膜202と窒化シリコン膜251で埋め込まれている。流動性酸化膜202は、周辺回路部のSTI用溝の側壁面を覆うとともに、溝の深さの1/2~4/5を埋め込む。窒化シリコン膜251は、溝の残りの空間(上部)を埋め込む。半導体基板の表面位置における窒化シリコン膜251と活性領域との間の距離は10~100nmとする。
 流動性酸化膜202の形成は、メモリ領域(図18A)と周辺領域(図19)とで同時に行われる。メモリ領域におけるSTI用溝(第1の溝)の幅は、周辺領域におけるSTI用溝(第2の溝)の幅に比べて狭い。このため、流動性酸化膜202は、周辺領域のSTI用溝の下部を埋設している間に、メモリ領域のSTI用溝を完全に埋め込む。流動性酸化膜202は、成膜時に流動性を有しているので、STI用溝の幅が狭く、アスペクト比が大きくても、ボイドを発生させること無く溝を完全に埋め込むことができる。
 本実施の形態においても第1の実施の形態と同様の効果が得られる。
 以上、本発明についていくつかの実施の形態体に即して説明したが、本発明は上記実施の形態に限らず、本発明の主旨から逸脱することなく種々の変形・変更が可能である。
 この出願は、2012年11月30日に出願された日本出願特願2012-262021号を基礎とする優先権を主張し、その開示の全てをここに取り込む。
  101  半導体基板
  102  パッド酸化シリコン膜
  103  ハードマスク窒化シリコン膜
  104  溝
  105  パッド酸化シリコン膜
  106  流動性酸化膜
  107  窒化シリコン膜
  108,109  活性領域
  110  p型ウェル
  111  n型ウェル
  112  下層ゲート絶縁膜
  113  第1高誘電率膜
  114  第1メタルゲート電極膜
  115  第1非晶質シリコンゲート電極膜
  116  保護酸化シリコン膜
  117  第1ゲートスタックリソグラフィレジストマスク
  118  第2高誘電率膜
  119  第2メタルゲート電極膜
  120  第2非晶質シリコンゲート電極膜
  121  第2ゲートスタックリソグラフィレジストマスク
  122  第3非晶質シリコンゲート電極膜
  123  メタル積層膜
  124  ハードマスク窒化シリコン膜
  125  オフセットスペーサ
  126  LDD領域
  127  サイドウォールスペーサ
  128  S/D領域
  129  ライナー窒化シリコン膜
  130  層間絶縁膜
  131  キャップ酸化シリコン膜
  132  接続プラグ
  133  配線
  151  ゲート構造体
  201  p型単結晶シリコン基板
  202  流動性酸化膜
  203  ゲートトレンチ
  204  ゲート絶縁膜
  205  ゲート電極
  205a  窒化チタン
  205b  タングステン
  206  キャップ絶縁膜
  207  キャパシタ拡散層
  208  ビット線拡散層
  209  トレンチ拡散層
  210  マスク絶縁膜
  211  ビット線コンタクトプラグ
  211a  リンドープ非晶質シリコンゲート電極膜
  220  ビット線
  220a  メタル積層膜
  221  カバー絶縁膜
  222a,222b  サイドウォール絶縁膜
  223  第1層間絶縁膜
  224  キャパシタコンタクトプラグ
  224a  コンタクトプラグ
  225  下部電極
  225a  配線
  226  上部電極
  227  第2層間絶縁膜
  227a  第3層間絶縁膜
  228,228a  コンタクトプラグ
  229,229a  上部配線
  251  窒化シリコン膜
  252  n型ウェル
  253  下層ゲート絶縁膜
  261  第1高誘電率膜
  262  第1メタルゲート電極膜
  263  ノンドープ非晶質シリコンゲート電極膜
  265  第2高誘電率膜
  266  第2メタルゲート電極膜
  267  非晶質シリコンゲート電極膜
  270a,270b  積層構造
  281a,281b  ソース/ドレイン拡散層

Claims (17)

  1.  活性領域と前記活性領域を囲む素子分離領域に区画された基板上に、高誘電率を有する絶縁膜と金属材料を含む電極膜とを順次積層して構成されるゲート構造が、前記活性領域から前記素子分離領域に渡って延在する装置であって、
     前記素子分離領域は、基板に形成された溝と、前記溝の側壁面を覆い前記溝の下部を埋設する第1の絶縁膜と、前記溝の下部を埋設する前記第1の絶縁膜を覆って前記溝の上部を埋設する第2の絶縁膜と、を備えていることを特徴とする装置。
  2.  前記活性領域と前記第2の絶縁膜との間に挟まれた前記第1の絶縁膜の幅が、平面視で10nm乃至100nmであることを特徴とする請求項1に記載の装置。
  3.  前記第2の絶縁膜が酸素を含有しないこと、を特徴とする請求項1に記載の装置。
  4.  前記第2の絶縁膜が窒化シリコン膜であること、を特徴とする請求項3に記載の装置。
  5.  前記第1の絶縁膜が、シリコンおよび酸素の両方を含有すること、を特徴とする請求項3に記載の装置。
  6.  前記第1の絶縁膜がFCVD(flowable chemical vapor deposition)膜であることを特徴とする請求項5に記載の装置。
  7.  前記溝の側壁面を覆う前記第1の絶縁膜の膜厚が前記溝の上部から底部に向かって徐々に増加する部分を備えることを特徴とする請求項5に記載の装置。
  8.  前記第1の絶縁膜は、前記溝の深さの1/2乃至4/5を埋設することを特徴とする請求項1に記載の装置。
  9.  メモリセル領域と周辺回路領域に区画された基板と、
     前記メモリセル領域に規定され、第1の溝を含む第1の素子分離領域と、
     前記周辺回路領域に規定された活性領域と、
     前記周辺回路領域に規定され、前記活性領域を囲む第2の溝を含む第2の素子分離領域と、
     前記第1の溝を埋設する第1の部分と、前記第2の溝の側壁面を覆い前記第2の溝の下部を埋設する第2の部分とを含む第1の絶縁膜と、
     前記第2の溝の下部を埋設する前記第1の絶縁膜を覆って前記第2の溝の上部を埋設する第2の絶縁膜と、
     高誘電率を有する絶縁膜および金属材料を含む電極膜を前記基板上に順次積層して形成され、前記活性領域から第2の素子分離領域に渡って延在するゲート構造と、
     を備えることを特徴とする装置。
  10.  前記第1の絶縁膜がFCVD(flowable chemical vapor deposition)膜であることを特徴とする請求項9に記載の装置。
  11.  前記第1の絶縁膜が、シリコンおよび酸素の両方を含有し、前記第2の絶縁膜が酸素を含有しないこと、を特徴とする請求項9に記載の装置。
  12.  前記活性領域と前記第2の絶縁膜との間に挟まれた前記第1の絶縁膜の幅が、平面視で10nm乃至100nmであることを特徴とする請求項9に記載の装置。
  13.  基板上にストッパー膜を形成する工程と、
     前記ストッパー膜をパターンニングすると共に前記基板に溝を形成する工程と、
     FCVD法により、前記溝の側壁面を覆うと共に前記溝の下部を埋設する第1の絶縁膜を形成する工程と、
     前記第1の絶縁膜を覆うと共に前記溝の上部を埋設する第2の絶縁膜を形成する工程と、
     前記ストッパー膜と前記第2の絶縁膜のそれぞれの上表面が概略平坦面を成すように研磨する工程と、
     前記ストッパー膜を除去する工程と、
     高誘電率を有するゲート絶縁膜を形成する工程と、
     前記ゲート絶縁膜を覆う金属材料を含有するゲート電極を形成する工程を備えること、を特徴とする装置の製造方法。
  14.  前記基板と前記第2の絶縁膜との間に挟まれた前記第1の絶縁膜の幅が、平面視で10nm乃至100nmとなるように、前記第1の絶縁膜を形成する条件を設定して行うことを特徴とする請求項13に記載の装置の製造方法。
  15.  前記溝として、第1の溝と該第1の溝より幅の広い第2の溝を形成し、
     前記第1の絶縁膜を形成する工程において、前記第1の溝を完全に埋設するとともに、前記第2の溝の下部を埋設する、ことを特徴とする請求項13に記載の装置の製造方法。
  16.  前記第1の絶縁膜を形成する工程が、FCVD(flowable chemical vapor deposition)法を用いて行われることを特徴とする請求項13に記載の装置の製造方法。
  17.  前記第1の絶縁膜がシリコンおよび酸素の両方を含有する膜であり、前記第2の絶縁膜が酸素を含有しない膜であることを特徴とする請求項13に記載の装置の製造方法。
PCT/JP2013/081483 2012-11-30 2013-11-22 装置及びその製造方法 WO2014084132A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/439,012 US20150295033A1 (en) 2012-11-30 2013-11-22 Apparatus and method for manufacturing same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012262021 2012-11-30
JP2012-262021 2012-11-30

Publications (1)

Publication Number Publication Date
WO2014084132A1 true WO2014084132A1 (ja) 2014-06-05

Family

ID=50827770

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/081483 WO2014084132A1 (ja) 2012-11-30 2013-11-22 装置及びその製造方法

Country Status (3)

Country Link
US (1) US20150295033A1 (ja)
TW (1) TW201440220A (ja)
WO (1) WO2014084132A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108878421B (zh) * 2017-05-08 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10679901B2 (en) * 2018-08-14 2020-06-09 International Business Machines Corporation Differing device characteristics on a single wafer by selective etch

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060001104A1 (en) * 2004-06-30 2006-01-05 Fujitsu Limited Semiconductor device having STI with nitride liner
US20070158721A1 (en) * 2006-01-06 2007-07-12 Susumu Akamatsu Semiconductor device and method for fabricating the same
DE102006046377A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Isoliergräben, die unterschiedliche Arten an Verformung hervorrufen
WO2008042144A2 (en) * 2006-09-29 2008-04-10 Advanced Micro Devices, Inc. A semiconductor device comprising isolation trenches inducing different types of strain
US20120276713A1 (en) * 2011-04-26 2012-11-01 Elpida Memory, Inc. Method for manufacturing semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005332885A (ja) * 2004-05-18 2005-12-02 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
KR100556527B1 (ko) * 2004-11-04 2006-03-06 삼성전자주식회사 트렌치 소자 분리막 형성 방법 및 불휘발성 메모리 장치의제조 방법

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060001104A1 (en) * 2004-06-30 2006-01-05 Fujitsu Limited Semiconductor device having STI with nitride liner
JP2006019327A (ja) * 2004-06-30 2006-01-19 Fujitsu Ltd 半導体装置
US20110291204A1 (en) * 2004-06-30 2011-12-01 Fujitsu Semiconductor Limited Semiconductor device having sti with nitride liner and uv light shielding film
US20070158721A1 (en) * 2006-01-06 2007-07-12 Susumu Akamatsu Semiconductor device and method for fabricating the same
JP2007184418A (ja) * 2006-01-06 2007-07-19 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR20090060355A (ko) * 2006-09-29 2009-06-11 어드밴스드 마이크로 디바이시즈, 인코포레이티드 서로 다른 유형의 스트레인을 유발하는 분리 트렌치들을 포함하는 반도체 디바이스
WO2008042144A2 (en) * 2006-09-29 2008-04-10 Advanced Micro Devices, Inc. A semiconductor device comprising isolation trenches inducing different types of strain
TW200828497A (en) * 2006-09-29 2008-07-01 Advanced Micro Devices Inc A semiconductor device comprising isolation trenches inducing different types of strain
US20080079085A1 (en) * 2006-09-29 2008-04-03 Christoph Schwan semiconductor device comprising isolation trenches inducing different types of strain
GB2456094A (en) * 2006-09-29 2009-07-08 Advanced Micro Devices Inc A semiconductor device comprising isolation trenches inducing different types of strain
CN101536175A (zh) * 2006-09-29 2009-09-16 先进微装置公司 包括引发不同类型应变的隔离沟槽的半导体器件
US20090236667A1 (en) * 2006-09-29 2009-09-24 Advanced Micro Devices, Inc. Semiconductor device comprising isolation trenches inducing different types of strain
JP2010505269A (ja) * 2006-09-29 2010-02-18 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 種類の異なる歪みを誘発する分離トレンチを有する半導体装置
DE102006046377A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Isoliergräben, die unterschiedliche Arten an Verformung hervorrufen
US20120276713A1 (en) * 2011-04-26 2012-11-01 Elpida Memory, Inc. Method for manufacturing semiconductor device
JP2012231007A (ja) * 2011-04-26 2012-11-22 Elpida Memory Inc 半導体装置の製造方法

Also Published As

Publication number Publication date
US20150295033A1 (en) 2015-10-15
TW201440220A (zh) 2014-10-16

Similar Documents

Publication Publication Date Title
US9472646B2 (en) Dual work function buried gate type transistor and method for fabricating the same
JP5731858B2 (ja) 半導体装置及び半導体装置の製造方法
US20120280291A1 (en) Semiconductor device including gate openings
KR20130115062A (ko) 커패시터가 집적된 FinFET를 위한 구조 및 방법
JP2008244093A (ja) 半導体装置の製造方法
TWI493604B (zh) 半導體裝置及其製造方法
US8952461B2 (en) Semiconductor device, designing method therefor, and manufacturing method therefor
JP2006344809A (ja) 半導体装置及びその製造方法
US9305927B2 (en) Semiconductor device and method of manufacturing the same
US6469347B1 (en) Buried-channel semiconductor device, and manufacturing method thereof
KR20100033946A (ko) 반도체 디바이스, 그 제조 방법, 및 데이터 처리 시스템
US20100065898A1 (en) Integrated circuit semiconductor device having different gate stacks in cell region and core/peripheral region and method of manufacturing the same
US9508723B2 (en) Semiconductor device having buried gate and manufacturing method thereof
JP2015012230A (ja) 半導体装置の製造方法、及び半導体装置
JP2011159739A (ja) 半導体装置および半導体装置の製造方法
US8748978B2 (en) Sense-amp transistor of semiconductor device and method for manufacturing the same
JP2010050133A (ja) 半導体装置及び半導体装置の製造方法
US9595591B2 (en) Semiconductor device and method for manufacturing same
WO2014084132A1 (ja) 装置及びその製造方法
US20130241009A1 (en) Semiconductor device
JP2011003710A (ja) 半導体装置及び半導体装置の製造方法
US20080230838A1 (en) Semiconductor memory device and manufacturing process therefore
JP2011049366A (ja) 半導体装置の製造方法
JP2016046414A (ja) 半導体装置の製造方法
JP2013254860A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13859363

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 14439012

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13859363

Country of ref document: EP

Kind code of ref document: A1