WO2013141580A1 - Dispositif de génération de rayonnement ultraviolet extrême permettant une stabilisation et une amélioration du rendement énergétique au moyen d'une correction des faisceaux laser - Google Patents

Dispositif de génération de rayonnement ultraviolet extrême permettant une stabilisation et une amélioration du rendement énergétique au moyen d'une correction des faisceaux laser Download PDF

Info

Publication number
WO2013141580A1
WO2013141580A1 PCT/KR2013/002253 KR2013002253W WO2013141580A1 WO 2013141580 A1 WO2013141580 A1 WO 2013141580A1 KR 2013002253 W KR2013002253 W KR 2013002253W WO 2013141580 A1 WO2013141580 A1 WO 2013141580A1
Authority
WO
WIPO (PCT)
Prior art keywords
laser
laser beam
vacuum chamber
extreme ultraviolet
gas
Prior art date
Application number
PCT/KR2013/002253
Other languages
English (en)
Korean (ko)
Inventor
임재원
유부엽
최종립
Original Assignee
주식회사 에프에스티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020120028461A external-priority patent/KR101401241B1/ko
Priority claimed from KR1020120028464A external-priority patent/KR101324545B1/ko
Application filed by 주식회사 에프에스티 filed Critical 주식회사 에프에스티
Publication of WO2013141580A1 publication Critical patent/WO2013141580A1/fr

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Definitions

  • the present invention relates to a stabilized extreme ultraviolet generator through laser beam correction, and more particularly, to an extreme ultraviolet generator capable of generating an ultra-ultraviolet beam with improved efficiency while maximizing the structure.
  • the resolution of the exposure apparatus is proportional to the numerical aperture (NA) of the transfer optical system and inversely proportional to the wavelength of light used for exposure. For this reason, as an attempt to increase the resolution, an attempt has been made to use an Extreme Ultraviolet (EUV) light source having a short wavelength instead of visible or ultraviolet light for exposure transfer.
  • EUV Extreme Ultraviolet
  • As the EUV light generating device used in such an exposure transfer device there are a laser plasma EUV light source and a discharge plasma EUV light source.
  • the wavelength used in the EUV exposure apparatus is 20 nm or less, and a typical 13.5 nm light source has been widely researched and developed using Ne plasma using Ne gas as a reaction material of a laser plasma light source. It has efficiency (ratio of EUV light intensity obtained with respect to input energy). Since Ne is a gaseous material at room temperature, the problem of debris is difficult. However, in order to obtain a high output EUV light source, there is a limit to using Ne gas as a target, and it is also desired to use other materials.
  • the region of 200 nm to 100 nm corresponding to half of the long wavelength side is called VUV light and the region of 100 nm to 10 nm corresponding to half of the short wavelength side is generally called EUV light.
  • EUV light with a center wavelength of less than 100 nm from plasma is absorbed by the optical system such as air or condenser mirror (applied with a general reflective coating), and thus is not absorbed by the optical system.
  • Korean Patent Application No. 10-2011-0017579 name of the invention: when looking at the stabilized extreme ultraviolet light generating apparatus using a plasma through Figure 1, the laser source 10 for outputting a laser, in the laser source
  • the gas cell 20 which generates extreme ultraviolet rays by generating a plasma by a laser and a gas by receiving a gas from a gas supply path to a plasma induction path corresponding to a section in which the output laser is incident and focused.
  • the first vacuum chamber unit 30 which maintains a constant vacuum degree
  • the second vacuum chamber which maintains a constant vacuum degree as a space for injecting extreme ultraviolet rays generated from the gas cell and emitting the extreme ultraviolet rays to the outside.
  • the unit 40 a gas supply unit for supplying a gas for inducing the laser and the plasma to the gas supply path of the gas cell and the first dust And a first vacuum pump and a second vacuum pump for forming vacuum degrees of the empty chamber portion and the second vacuum chamber portion, respectively, and a plurality of optical systems 71 to 75 transferring light output from the race source.
  • the extreme ultraviolet ray generating apparatus corresponds to a very excellent technology capable of generating stabilized extreme ultraviolet ray through the plasma reaction as the invention filed by the present applicant.
  • the wavefront of the laser beam output from the laser source is often distorted, and there is a need for a system capable of providing a stable and optimal light source.
  • the present invention for solving the above problems is generated by providing a generator capable of generating stable, energy-efficient ultra-ultraviolet light by correcting the wavefront distortion or shape of the light output from the laser beam as desired. It is an object of the present invention to provide an extreme ultraviolet generator that can simplify the structure of the device as much as possible.
  • a laser source for outputting a laser
  • a correction unit for correcting the wavefront of the laser beam output from the laser source
  • the reflected laser beam is corrected wavefront of the laser beam in the correction unit TLM (Tunable Laser Mirror) for reflecting back light
  • Focusing Mirror FM
  • Gas for the plasma induction path corresponding to the section in which the laser beam focused in the FM is focused It comprises a gas cell for receiving the reaction gas from the supply path to form a plasma by the laser beam and the reaction gas to generate extreme ultraviolet rays, and a vacuum chamber for receiving the TLM, FM, gas cells in a vacuum state.
  • the correction unit includes a DM (Deformable mirror) and a drive unit for controlling the shape deformation of the DM.
  • DM Deformable mirror
  • the first aperture is provided for the alignment of the laser beam focused in the FM, and the second aperture for transmitting only the central wavelength in the extreme ultraviolet beam generated in the gas cell.
  • the vacuum chamber is divided into a first vacuum chamber portion and a second vacuum chamber portion, the second vacuum chamber portion maintains a higher vacuum than the first vacuum chamber portion, the first vacuum chamber portion, TLM, FM And a gas cell, a first aperture, and the second vacuum chamber portion to receive the second aperture.
  • the apparatus may further include a beamsplitter for partially reflecting light reflected from the TLM, and an image sensor for detecting a wavefront of the beams reflected through the beamsplitter.
  • the present invention constructed and operated as described above outputs more effective extreme ultraviolet light by correcting the distortion of the laser beam wavefront output from the laser source through a deformable mirror (DM) configured by a correction unit under conditions for generating EUV light.
  • DM deformable mirror
  • the structure is very simple, it is easy to manufacture and the cost reduction can be realized, and the beam alignment is very easy by simplifying the optical system structure. There is an advantage that can be stably output of extreme ultraviolet rays.
  • FIG. 1 is a configuration diagram of an extreme ultraviolet ray generating apparatus using a plasma according to the prior art
  • FIG. 2 is a configuration diagram of an extreme ultraviolet generator for stabilization and energy efficiency improvement through laser beam correction according to the present invention
  • FIG. 3 is a schematic configuration diagram of a correction unit according to the present invention.
  • Figure 4 is a detailed view of a stabilized extreme ultraviolet light generating apparatus through laser beam correction according to the present invention.
  • FIG. 5 is a configuration diagram of an extreme ultraviolet ray generating apparatus using a simplified plasma structure according to the present invention
  • FIG. 6 is a schematic configuration diagram of an alignment mirror according to the present invention.
  • FIG. 7 is a view showing a driving example of an alignment mirror according to the present invention.
  • the extreme ultraviolet generator for stabilization and energy efficiency through laser beam correction according to the present invention, a laser source for outputting a laser, a correction unit for correcting the wavefront of the laser beam output from the laser source, the laser in the correction unit TLM (Tunable Laser Mirror) for reflecting the corrected reflected laser beam back to the wavefront of the beam, Focusing Mirror (FM) for focusing the laser beam reflected from the TLM, and is focused by receiving the laser focused on the FM Receiving the reaction gas from the gas supply path to the plasma induction path corresponding to the section to form a plasma by the laser beam and the reaction gas to generate the extreme ultraviolet rays and the TLM, FM, gas cells to receive the vacuum state It comprises a vacuum chamber.
  • TLM Tunable Laser Mirror
  • FM Focusing Mirror
  • the extreme ultraviolet generator according to the present invention is stable as a result of correcting the wavefront distortion of the source laser beam for generating the extreme ultraviolet light through the correction unit consisting of DM (Deforable mirror) of the wavefront of the laser beam output from the laser source. It is a main technical point of the present invention to provide an extreme ultraviolet light generating device capable of generating highly efficient ultraviolet light and satisfying the efficiency of extreme ultraviolet light while simplifying the structure.
  • DM Deflectable mirror
  • FIG. 2 is a block diagram of a stabilized extreme ultraviolet light generating apparatus through laser beam correction according to the present invention.
  • the extreme ultraviolet generator using the plasma according to the present invention includes a laser source 100 for outputting a laser beam, a TLM (Tunable Laser Mirror) 220 for reflecting the laser beam, and a FM (Focusing Mirror) for focusing the reflected laser beam; 230, a gas cell 240 generating extreme ultraviolet light through a plasma reaction, and a vacuum chamber accommodating the TLM, FM, and gas cells.
  • a laser source 100 for outputting a laser beam
  • a TLM (Tunable Laser Mirror) 220 for reflecting the laser beam
  • a FM (Focusing Mirror) for focusing the reflected laser beam
  • 230 a gas cell 240 generating extreme ultraviolet light through a plasma reaction
  • a vacuum chamber accommodating the TLM, FM, and gas cells.
  • the laser source 100 is a source source for outputting a laser having an arbitrary wavelength.
  • the laser source 100 generates extreme ultraviolet rays having a wavelength of 50 nm or less through plasma induction of the laser output from the laser source.
  • the source laser beam supplied from the outside to generate the extreme ultraviolet light is an IR laser of 800 nm class, and the source laser may be an IR laser of 800 nm or more.
  • an IR laser is used, but a pulse width laser of Femto second is used. That is, an IR femtosecond laser should be used, and a pulse width of 50 femto second laser is preferable.
  • the light output from the laser source first enters the correction unit 210 to correct the wavefront of the output beam.
  • the correction unit is formed of a deformable mirror (DM).
  • the DM is composed of a shape deformation mirror and a drive unit for controlling the mirror.
  • FIG 3 is a schematic configuration diagram of a correction unit according to the present invention
  • Figure 4 is a screen showing before and after the laser beam correction according to the present invention.
  • the IR wavefront sensor is used to detect the distortion of the laser wavefront.
  • the DM corresponds to a configuration capable of transforming the shape of the source laser beam into a beam shape most suitable for processing so that not only the distortion of the beam shape but also the most stable and efficient EUV beam can be generated.
  • the detected beam shape information calculates a signal to be corrected based on the measured laser wavefront, and controls the shape deformation mirror in the driver. As shown in FIG. 3, the first distorted laser wavefront is corrected.
  • a mirror 211 and a driver 212 are largely configured as an example of a correction unit, and are reflected by the beam splitter 213 and the beam splitter to reflect the beam to measure the wavefront of the incident laser beam. It consists of an image sensor 214 that measures the wavefront of the beam.
  • the image sensor may be, for example, a shack heartmann sensor or a wavefront measurement image sensor.
  • the laser wavefront is detected by the image sensor, and the driver feeds back the detected value to control the DM to output a desired beam shape.
  • the TLM 220 is a mirror that reflects a laser beam output from a laser source located outside the vacuum chamber.
  • the TLM 220 reflects the incident laser beam disposed on an incident path output from the laser source to the focusing mirror 230 to be described later.
  • the TLM is reflected such that the angle reflected by the focusing mirror has an angle of incidence of approximately 2 °, that is, the angle of the source beam incident into the focusing mirror is reflected by approximately 2 °.
  • the FM 230 focuses the incident light for generating extreme ultraviolet light.
  • the laser beam output from the laser source is reflected by the TLM mirror and reflected by the focusing mirror, and the focusing mirror FM focuses the incident laser beam into a gas cell that generates EUV light through plasma induction.
  • the gas cell is made of a transparent material, preferably made of quartz, a through path through which a laser can pass is formed, and in the center thereof, a plasma induction furnace 330 which is a focal region where a laser output from a laser source is focused. ), An exhaust path 320 is formed at both sides of the plasma induction furnace, and a gas supply path 310 for supplying gas to the plasma induction furnace is connected to the plasma induction furnace.
  • the gas cell 240 is formed of a transparent material, and a light induction path is formed at both sides, and a plasma induction path is formed at the center to connect the light induction paths.
  • the light reflected by the focusing mirror is focused to be focused on the center portion of the plasma induction furnace and reacts with the reaction gas supplied to the plasma induction furnace to generate EUV light. That is, the plasma induction furnace corresponding to the central portion is focused by focusing the laser output from the laser source, and the external gas supply unit 290 supplies Ne gas through the plasma induction furnace and through the gas supply passage.
  • exhaust paths are provided on both sides of the plasma induction furnace to exhaust the supplied gas to the outside and maintain the degree of vacuum in the plasma induction furnace.
  • the gas supplied through the gas supply path is diffused outside the region where the laser focus is focused, smooth plasma induction may not be possible due to the scattering of gas particles.
  • a constant degree of vacuum should be maintained in the plasma induction furnace. If the constant degree of vacuum cannot be maintained due to various problems of the vacuum system (vacuum chamber sealing, impurities, etc.), this exhaust gas may also be an obstacle to EUV light generation. Maintain gas evacuation and vacuum through the furnace.
  • the exhaust passage exhausts through an external drain pump 291 (a device for evacuating gas).
  • a vacuum chamber is configured to receive a component for generating extreme ultraviolet light in a vacuum state.
  • the vacuum chamber is divided into a first vacuum chamber 200 region and a second vacuum chamber 210 region.
  • the first vacuum chamber part 200 is an area in which extreme ultraviolet rays are generated
  • the second vacuum chamber part 210 corresponds to an area for stably supplying extreme ultraviolet rays generated in the first vacuum chamber part.
  • the plasma is induced by the laser beam and the gas supplied from the outside to generate the extreme ultraviolet rays, the extreme ultraviolet rays are generated through the gas cell to be described later.
  • a gas such as Ne, Xe, He, etc. is supplied into the gas cell from the outside, it is difficult to maintain a constant vacuum degree, and thus, in the chamber where the gas cell is located, EUV light efficiency generated in the gas cell may be reduced. Therefore, the gas cell is positioned in the first vacuum chamber portion maintaining a constant vacuum degree, and EUV light generated in the gas cell is transferred directly to the second vacuum chamber portion having a higher vacuum degree to prevent the efficiency from falling.
  • the first vacuum chamber part and the second vacuum chamber part are configured with a first vacuum pump 300 and a second vacuum pump 310 to maintain different vacuum degrees, respectively, and to form a lower vacuum degree in the second vacuum chamber.
  • a plurality of vacuum pumps suitable for this can be installed.
  • it consists of Medium Vacuum class vacuum pumps, such as a Cryo pump, a Diffusion Pump, and a Turbo Pump.
  • Vacuum chambers each portion is preferably first the 10 -3 torr or less, a second vacuum chamber maintained in a vacuum chamber to a vacuum degree of less than 10 -6 torr.
  • the first vacuum chamber is configured to generate extreme ultraviolet light
  • the second vacuum chamber is configured to prevent deterioration of efficiency so that the finally generated EUV light is supplied to the application.
  • the vacuum chamber is divided into a partition formed by forming a partition in one chamber, the partition is composed of a small tube of about 1mm so that the extreme ultraviolet rays generated in the gas cell can be transmitted through the first vacuum chamber through The beam passes through to the second vacuum chamber.
  • the light reflected by the beam splitter further includes a beam splitter 270 for reflecting, and is configured to detect a wavefront of incident light in an image sensor 280 (Shack heartmann sensor) installed outside the vacuum chamber.
  • Figure 4 is a detailed view of a stabilized extreme ultraviolet light generating apparatus through laser beam correction according to the present invention.
  • a gas supply path is formed to communicate with the outside to supply gas to the plasma induction path, and a gas exhaust path communicating with the light induction path is provided at both sides of the gas supply path.
  • the gas supply passage is connected to the external gas supply unit 290 to supply the reaction gas required for the plasma reaction, and the gas exhaust passage is connected to the external drain pump 291 (a device for exhausting the gas) to react. It is configured to exhaust the gas afterwards.
  • the extreme ultraviolet generator includes a race source 100 for outputting an infrared laser, a pinhole for passing only the center wavelength of the light output from the laser source, a TLM (Tunable Laser Mirror; 211) for reflecting light passing through the pinhole, An alignment mirror 221 for aligning the direction of the light reflected by the TLM, a focusing mirror (FM) for focusing the light reflected by the alignment mirror, and a gas cell 240 generating extreme ultraviolet light through a plasma reaction. And a vacuum chamber accommodating the TLM, alignment mirror, FM, and gas cells.
  • the laser source 100 is a source source for outputting an infrared laser having an arbitrary wavelength.
  • the laser source 100 generates extreme ultraviolet rays having a wavelength of 20 nm or less through plasma induction of the laser output from the laser source.
  • a titanium sapphire amplified laser system is used as a medium. It is preferable to have a pulse width of 25 fs to 60 fs and IR wave 800 nm to 1600 nm.
  • the center wavelength is preferably 800 nm, but in some cases, the center wavelength may be changed to 1600 nm long.
  • the pinhole passes only the light of the center wavelength in the output light from the infrared laser source and spatially removes the remaining outer light so that the laser beam can pass.
  • the light passing through the pinhole is incident to the TLM (Tunable Laser Mirror) 211.
  • the TLM is a mirror that reflects a laser beam output from a laser source located outside the vacuum chamber.
  • the TLM reflects a laser beam disposed on an incident path output from the laser source to a focusing mirror 230 to be described later.
  • the focusing mirror 230 focuses and reflects incident light for generating extreme ultraviolet light.
  • the laser beam output from the laser source is reflected by the TLM, and then the beam direction is accurately aligned through the alignment mirror 221, and then reflected by the focusing mirror.
  • the focusing mirror FM focuses the incident laser beam to guide the plasma. Focusing on the gas cell to generate the EUV light through.
  • FIG. 6 is a schematic configuration diagram of an alignment mirror according to the present invention
  • Figure 7 is a view showing a driving example of the alignment mirror according to the present invention.
  • the alignment mirror is positioned in a structure in which vertical rotation and horizontal rotation can be implemented.
  • the alignment mirror controls the vertical driving and the horizontal driving by the driving motor (unsigned) and controls the direction of the reflected beam.
  • the driving motor is precisely controlled by the control unit 241 for controlling it, and the beam reflected through the alignment mirror is measured through measuring means (eg, an image sensor, etc.) for checking the position of the beam on the optical path. Detect and control the beam direction.
  • FIG. 8 illustrates a horizontally rotated state of the alignment mirror, and (b) illustrates a vertically rotated state. Therefore, the position of the beam can be accurately aligned by automatically controlling the alignment mirror 221.
  • Gas cell 240 is a means for generating extreme ultraviolet light through a plasma reaction, the configuration is made of a transparent material, preferably made of quartz to form a through passage through which a laser can pass, the center of the A plasma induction furnace, which is a focal region in which a laser output from a laser source is focused, is provided, and exhaust paths are formed at both sides of the plasma induction furnace, and a gas supply path for supplying gas to the plasma induction furnace is a plasma induction furnace.
  • the gas cell 240 is formed of a transparent material, and a light induction path is formed at both sides, and a plasma induction path is formed at the center to connect the light induction paths.
  • the light reflected by the focusing mirror is focused to be focused on the center portion of the plasma induction furnace and reacts with the reaction gas supplied to the plasma induction furnace to generate EUV light. That is, the plasma induction furnace corresponding to the center portion is focused by focusing the laser output from the laser source, and the external gas supply unit 270 supplies Ne gas through the plasma induction furnace and through the gas supply passage.
  • exhaust paths are provided on both sides of the plasma induction furnace to exhaust the supplied gas to the outside and maintain the degree of vacuum in the plasma induction furnace.
  • the gas supplied through the gas supply path is diffused outside the region where the laser focus is focused, smooth plasma induction may not be possible due to the scattering of gas particles.
  • this exhaust gas may also be an obstacle to EUV light generation. Maintain gas evacuation and vacuum through the furnace.
  • the exhaust passage exhausts through an external drain pump 291 (a device for evacuating gas).
  • a vacuum chamber is configured to receive a component for generating extreme ultraviolet light in a vacuum state.
  • the vacuum chamber is divided into a first vacuum chamber 200 region and a second vacuum chamber 210 region.
  • the first vacuum chamber part 200 is an area in which extreme ultraviolet rays are generated
  • the second vacuum chamber part 201 corresponds to an area for stably supplying extreme ultraviolet rays generated in the first vacuum chamber part.
  • the plasma is induced by the laser beam and the gas supplied from the outside to generate the extreme ultraviolet rays, the extreme ultraviolet rays are generated through the gas cell to be described later.
  • a gas such as Ne, Xe, He, etc. is supplied into the gas cell from the outside, it is difficult to maintain a constant vacuum degree, and thus, in the chamber in which the gas cell is located, EUV light efficiency generated in the gas cell may decrease. Therefore, the gas cell is located in the first vacuum chamber portion which maintains a constant vacuum degree, and EUV light generated in the gas cell is transferred directly to the second vacuum chamber portion having a lower vacuum degree to prevent the efficiency from falling.
  • the first vacuum chamber part and the second vacuum chamber part are configured with a first vacuum pump 300 and a second vacuum pump 310 to maintain different vacuum degrees, respectively, and to form a lower vacuum degree in the second vacuum chamber.
  • a plurality of vacuum pumps suitable for this can be installed.
  • it consists of Medium Vacuum class vacuum pumps such as Cryo pump, Diffusion Pump, Turbo Pump and Ion pump.
  • Vacuum chambers each portion is preferably first the 10 -3 torr or less, a second vacuum chamber maintained in a vacuum chamber to a vacuum degree of less than 10 -6 torr.
  • the first vacuum chamber is configured to generate extreme ultraviolet light
  • the second vacuum chamber is configured to prevent deterioration of efficiency so that the final light is supplied to the application.
  • the vacuum chamber is divided into partitions formed by forming a partition in one chamber, and the partition wall and the partition wall is connected to a long pipe having a hole of about 1mm or less through which the extreme ultraviolet rays generated in the gas cell can pass. It is configured to maintain the degree of vacuum in each chamber.
  • the present invention configured as described above improves the wavefront of the source beam through a compensator composed of a deformable mirror, changes the shape of the beam so that the desired EUV beam is generated as much as possible, and changes the shape of the source beam so that the EUV beam is generated as much as possible.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • X-Ray Techniques (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

La présente invention se rapporte à un dispositif de génération de rayonnement ultraviolet extrême (EUV pour Extreme UltraViolet) permettant une stabilisation et une amélioration du rendement énergétique au moyen d'une correction des faisceaux laser. Le dispositif de génération de plasma EUV selon la présente invention comprend : une source laser qui transmet un faisceau laser ; une partie de correction qui corrige un front d'onde du faisceau laser qui est transmis depuis la source laser ; un miroir de laser accordable (TLM pour Tunable Laser Mirror) qui réfléchit une nouvelle fois le faisceau laser réfléchi dont le front d'onde est corrigé dans la partie de correction ; un miroir de focalisation (FM pour Focusing Mirror) qui focalise le faisceau laser réfléchi par le miroir TLM ; une cellule de gaz qui reçoit le faisceau laser focalisé réfléchi par le miroir FM, reçoit un gaz de réaction fourni depuis un trajet d'alimentation en gaz et génère un rayonnement ultraviolet extrême par formation d'un plasma à l'aide du faisceau laser et du gaz de réaction par rapport à un chemin d'entraînement de plasma qui correspond à une section au niveau de laquelle est formé un foyer ; et une chambre de vide qui loge le miroir TLM, le miroir FM et la cellule de gaz dans un état de vide. Comme cela a été mentionné plus haut, selon la présente invention, le front d'onde du faisceau laser qui est transmis depuis la source laser au moyen de la partie de correction, peut être corrigé pour donner en conséquence une source de lumière stable. De plus, il est possible de transmettre de manière efficace un faisceau laser EUV même avec une structure simple.
PCT/KR2013/002253 2012-03-20 2013-03-19 Dispositif de génération de rayonnement ultraviolet extrême permettant une stabilisation et une amélioration du rendement énergétique au moyen d'une correction des faisceaux laser WO2013141580A1 (fr)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2012-0028461 2012-03-20
KR1020120028461A KR101401241B1 (ko) 2012-03-20 2012-03-20 빔 정렬을 구현하는 극자외선 발생장치
KR10-2012-0028464 2012-03-20
KR1020120028464A KR101324545B1 (ko) 2012-03-20 2012-03-20 레이저 빔 보정을 통한 안정화와 에너지 효율 향상을 위한 극자외선 발생장치

Publications (1)

Publication Number Publication Date
WO2013141580A1 true WO2013141580A1 (fr) 2013-09-26

Family

ID=49222966

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2013/002253 WO2013141580A1 (fr) 2012-03-20 2013-03-19 Dispositif de génération de rayonnement ultraviolet extrême permettant une stabilisation et une amélioration du rendement énergétique au moyen d'une correction des faisceaux laser

Country Status (1)

Country Link
WO (1) WO2013141580A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106908950A (zh) * 2017-03-16 2017-06-30 中国科学院西安光学精密机械研究所 一种电磁辐射产生装置及其使用方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080088392A (ko) * 2007-03-28 2008-10-02 도오쿄 인스티튜드 오브 테크놀로지 극단 자외광 광원 장치 및 극단 자외광 발생 방법
KR20090085600A (ko) * 2006-10-13 2009-08-07 사이머 인코포레이티드 Euv 광원용 구동 레이저 전달 시스템
JP2010147138A (ja) * 2008-12-17 2010-07-01 Ushio Inc 極端紫外光光源装置および極端紫外光光源装置の保守方法
KR20100130422A (ko) * 2009-06-03 2010-12-13 삼성전자주식회사 Euv 마스크용 공간 영상 측정 장치 및 방법
KR20110137031A (ko) * 2010-06-16 2011-12-22 한국과학기술원 펨토초 펄스 레이저의 시간에 따른 광강도 조절을 통한 절단방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090085600A (ko) * 2006-10-13 2009-08-07 사이머 인코포레이티드 Euv 광원용 구동 레이저 전달 시스템
KR20080088392A (ko) * 2007-03-28 2008-10-02 도오쿄 인스티튜드 오브 테크놀로지 극단 자외광 광원 장치 및 극단 자외광 발생 방법
JP2010147138A (ja) * 2008-12-17 2010-07-01 Ushio Inc 極端紫外光光源装置および極端紫外光光源装置の保守方法
KR20100130422A (ko) * 2009-06-03 2010-12-13 삼성전자주식회사 Euv 마스크용 공간 영상 측정 장치 및 방법
KR20110137031A (ko) * 2010-06-16 2011-12-22 한국과학기술원 펨토초 펄스 레이저의 시간에 따른 광강도 조절을 통한 절단방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106908950A (zh) * 2017-03-16 2017-06-30 中国科学院西安光学精密机械研究所 一种电磁辐射产生装置及其使用方法
CN106908950B (zh) * 2017-03-16 2023-02-14 中国科学院西安光学精密机械研究所 一种电磁辐射产生装置及其使用方法

Similar Documents

Publication Publication Date Title
WO2012118280A2 (fr) Appareil de production de rayonnement ultraviolet extrême stabilisé utilisant le plasma
CN105814662B (zh) 辐射源、量测设备、光刻系统和器件制造方法
US20100200767A1 (en) Optical apparatus for plasma
US20150334814A1 (en) Device for controlling laser beam and apparatus for generating extreme ultraviolet light
US9386675B2 (en) Laser beam controlling device and extreme ultraviolet light generating apparatus
KR101709820B1 (ko) 레이저 시스템용 계측 모듈
WO2013141578A1 (fr) Appareil de génération de lumière ultraviolette extrême à l'aide de plasma
WO2013141580A1 (fr) Dispositif de génération de rayonnement ultraviolet extrême permettant une stabilisation et une amélioration du rendement énergétique au moyen d'une correction des faisceaux laser
KR101269115B1 (ko) 구조가 간소화된 플라즈마를 이용한 극자외선 발생장치
CN105830198B (zh) 辐射源、量测设备、光刻系统和器件制造方法
US11125613B2 (en) Extreme ultraviolet light sensor unit and extreme ultraviolet light generation apparatus
KR20120081843A (ko) 플라즈마를 이용한 극자외선 발생장치
Zhao et al. An angular-resolved scattered-light diagnostic for laser-plasma instability studies
TWI709731B (zh) 用於判定輻射光束之位置之輻射感測器裝置、系統及方法
WO2013162187A1 (fr) Dispositif flottant et procédé de flottement
KR20130106711A (ko) 레이저 빔 보정을 통한 안정화와 에너지 효율 향상을 위한 극자외선 발생장치
KR101359754B1 (ko) 광 정밀 조절이 가능한 euv 광 발생장치
GB2143192A (en) Vacuum-tight radiation window
JP2023530849A (ja) 迅速な計測回復のための精密真空ウィンドウビューポート及びペリクル
KR20130106709A (ko) 빔 정렬을 구현하는 극자외선 발생장치
WO2020017865A1 (fr) Appareil de surveillance d'un composant gazeux d'un laser à gaz
KR101416267B1 (ko) 극자외선 광 생성을 위한 플라즈마 유도 가스셀
Nilson et al. Divertor Thomson scattering on DIII-D
WO2010076924A1 (fr) Appareil pour accélérer la détection de brouillard et procédé de détection correspondant
KR102405255B1 (ko) 광의 직각도 측정장치

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13764695

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

32PN Ep: public notification in the ep bulletin as address of the adressee cannot be established

Free format text: NOTING OF LOSS OF RIGHTS PURSUANT TO RULE 112(1) EPC (EPO FORM 1205A DATED 03/02/2015)

122 Ep: pct application non-entry in european phase

Ref document number: 13764695

Country of ref document: EP

Kind code of ref document: A1