WO2013123317A1 - Post-cmp removal using compositions and method of use - Google Patents

Post-cmp removal using compositions and method of use Download PDF

Info

Publication number
WO2013123317A1
WO2013123317A1 PCT/US2013/026326 US2013026326W WO2013123317A1 WO 2013123317 A1 WO2013123317 A1 WO 2013123317A1 US 2013026326 W US2013026326 W US 2013026326W WO 2013123317 A1 WO2013123317 A1 WO 2013123317A1
Authority
WO
WIPO (PCT)
Prior art keywords
acid
composition
ether
residue
contaminants
Prior art date
Application number
PCT/US2013/026326
Other languages
French (fr)
Inventor
Jun Liu
Jeffrey A. Barnes
Emanuel I. Cooper
Laisheng SUN
Elizabeth Thomas
Jason Chang
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Priority to CN201380018815.6A priority Critical patent/CN104508072A/en
Priority to SG11201404930SA priority patent/SG11201404930SA/en
Priority to JP2014557813A priority patent/JP2015512971A/en
Priority to KR1020147025340A priority patent/KR102105381B1/en
Priority to EP13749640.2A priority patent/EP2814895A4/en
Priority to US14/378,842 priority patent/US10176979B2/en
Publication of WO2013123317A1 publication Critical patent/WO2013123317A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/22Sulfonic acids or sulfuric acid esters; Salts thereof derived from aromatic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3409Alkyl -, alkenyl -, cycloalkyl - or terpene sulfates or sulfonates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Definitions

  • the present invention relates to amine-free compositions for substantially and efficiently cleaning residue and/or contaminants from microelectronic devices having same thereon.
  • Typical materials for the barrier layer include tantalum (Ta), tantalum nitride (TaN x ), tungsten (W), titanium (Ti), titanium nitride (TiN), ruthenium (Ru), cobalt (Co), molybdenum (Mo), rhenium (Rh), and alloys thereof.
  • the copper damascene process is used to form conductive copper lines and vias in the low-k dielectric layer.
  • One important step of the damascene process is copper chemical mechanical polishing (CMP) for the removal of excess copper above the dielectric layer surface.
  • CMP copper chemical mechanical polishing
  • the CMP process involves holding and rotating a thin, flat substrate of the semiconductor device against a wetted polishing pad under controlled pressure and temperature in the presence of CMP slurries.
  • the slurries contain abrasive materials and chemical additives as appropriate to the specific CMP process and requirements.
  • contaminants consisting of particles from the polishing slurry, chemicals added to the slurry, and reaction by-products of the polishing slurry are left behind on the wafer surface. All contaminants must be removed prior to any further steps in the microelectronic device fabrication process to avoid degradation of device reliability and introduction of defects into the device. Often, particles of these contaminants are smaller than 0.3 ⁇ .
  • residues that are left on the microelectronic device substrate following CMP processing include CMP material and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues can cause damage to copper lines or severely roughen the copper metallization, as well as cause poor adhesion of post-CMP applied layers on the device substrate. Severe roughening of copper metallization is particularly problematic, since overly rough copper can cause poor electrical performance of the product microelectronic device.
  • post-CMP removal compositions have been developed to remove the post-CMP residue and contaminants.
  • cleaning techniques use fluid flow of a cleaning solution, e.g., alkaline solutions based on ammonium hydroxide, over the wafer surface in combination with megasonics, jetting or brushing to remove contaminants.
  • Said cleaning solutions remove the contaminants by attacking the wafer surface or reacting with the contaminants before removing the dislodged contaminants from the wafer.
  • some of the contaminants may be chemically inert to the chemical ingredients in the cleaning solutions.
  • the amine -containing cleaning solutions known in the art smell and release amine vapors into the fab which can poison photoresist.
  • the present invention generally relates to an amine-free composition and process for cleaning residue and/or contaminants from microelectronic devices having said residue and contaminants thereon.
  • the compositions described herein comprise, consist of, or consist essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride -containing sources, and abrasive material typically used in chemical mechanical polishing processes.
  • the cleaning composition may further include at least one solvating agent, at least one surfactant, or both.
  • the residue may include post-CMP residue.
  • the invention in another aspect, relates to a kit comprising, in one or more containers, one or more of the following reagents for forming an amine-free composition, said one or more reagents selected from the group consisting of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride -containing sources, and abrasive material typically used in chemical mechanical polishing processes, and wherein the kit is adapted to form an amine-free composition suitable for cleaning post-CMP residue and contaminants from a microelectronic device having said residue and contaminants thereon.
  • the at least one oxidizing agent can be added to the amine-free composition at or upstream of the cleaning apparatus.
  • the present invention relates to a method of cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with an amine-free composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device, wherein the amine-free composition comprises at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes.
  • the residue may include post-CMP residue.
  • the present invention relates to a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon, said method comprising: polishing the microelectronic device with a CMP slurry; contacting the microelectronic device with an amine-free composition comprising at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes, for a sufficient time to substantially remove post- CMP residue and contaminants from the microelectronic device.
  • the present invention relates to a method of cleaning a microelectronic device having residue and contaminants thereon, said method comprising contacting the microelectronic device with an amine-free composition for sufficient time to remove residue and contaminants from the microelectronic device having same thereon, wherein said composition comprises at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes.
  • the present invention relates to a method of cleaning a microelectronic device having post-CMP residue and contaminants thereon, said method comprising contacting the microelectronic device with an amine-free composition for sufficient time to remove post-CMP residue and contaminants from the microelectronic device having same thereon, wherein said composition comprises at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes.
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with an amine- free cleaning composition described herein for sufficient time to at least partially clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon.
  • Yet another aspect of the invention relates to improved microelectronic devices, and products incorporating same, made using the methods of the invention comprising cleaning of post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product.
  • Another aspect of the invention relates to an article of manufacture comprising an amine-free cleaning composition, a microelectronic device wafer, and post-CMP residue and contaminants, wherein the amine-free cleaning composition comprises at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes.
  • the present invention relates generally to amine-free compositions that clean post-CMP residue and contaminants from a microelectronic device having said residue and contaminants thereon.
  • the cleaning compositions are compatible with the exposed materials, while substantially removing the post-CMP residue and contaminants from the surface of the microelectronic device.
  • microelectronic device corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications.
  • MEMS microelectromechanical systems
  • “residue” corresponds to particles generated during the manufacture of a microelectronic device including, but not limited to, plasma etching, ashing, chemical mechanical polishing, wet etching, and combinations thereof.
  • contaminants correspond to chemicals present in the CMP slurry, e.g., benzotriazole (BTA), reaction by-products of the polishing slurry, chemicals present in the wet etching composition, reaction by products of the wet etching composition, and any other materials that are the by-products of the CMP process, the wet etching, the plasma etching or the plasma ashing process.
  • BTA benzotriazole
  • post-CMP residue corresponds to particles from the polishing slurry, e.g., silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, organic residues, and any other materials that are the byproducts of the CMP process.
  • low-k dielectric material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon- containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, carbon-doped oxide (CDO) glass, CORALTM from Novellus Systems, Inc., BLACK DIAMONDTM from Applied Materials, Inc., SiLKTM from Dow Corning, Inc., and NANOGLASSTM of Nanopore, Inc, and the like. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • clean amine-free compositions correspond to the amine-free compositions just prior to contact with the microelectronic device having post-CMP and/or contaminants thereon.
  • complexing agent includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents and/or sequestering agents. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions of the present invention.
  • barrier material corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g., copper, into the dielectric material.
  • Conventional barrier layer materials include tantalum or titanium, their nitrides and silicides, and alloys thereof.
  • Candidate materials that could serve as directly plateable diffusion barriers include ruthenium (Ru), cobalt (Co), tungsten (W), molybdenum (Mo), rhenium (Rh), and alloys thereof.
  • substantially devoid is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, even more preferably less than 0.1 wt. %, and most preferably 0 wt%.
  • post-etch residue corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing.
  • the post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue including, but not limited to, oxygen and fluorine.
  • Post-ash residue corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or bottom anti-reflective coating (BARC) materials.
  • BARC bottom anti-reflective coating
  • the post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
  • an "amine” is defined as at least one primary, secondary, or tertiary amine, with the proviso that (i) an amide group, (ii) species including both a carboxylic acid group and an amine group (e.g., amino acids), (iii) ammonia, (iv) surfactants that include amine groups, and (v) amine -N-oxides are not considered “amines" according to this definition.
  • the amine formula can be represented by NR 1 R2 R 3 , wherein R 1 , R2 and R 3 can be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Cp C 6 alkyls (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl), C 6 -Ci 0 aryls (e.g., benzyl), straight-chained or branched Ci-Ce alkanols (e.g., methanol, ethanol, propanol, butanol, pentanol, hexanol), and combinations thereof.
  • Cp C 6 alkyls e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl
  • C 6 -Ci 0 aryls e.g., benzyl
  • suitable for cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon corresponds to at least partial removal of said residue/contaminants from the microelectronic device.
  • Cleaning efficacy is rated by the reduction of objects on the microelectronic device. For example, pre- and post-cleaning analysis may be carried out using an atomic force microscope. The particles on the sample may be registered as a range of pixels. A histogram (e.g., a Sigma Scan Pro) may be applied to filter the pixels in a certain intensity, e.g., 231-235, and the number of particles counted. The particle reduction may be calculated using:
  • the method of determination of cleaning efficacy is provided for example only and is not intended to be limited to same.
  • the cleaning efficacy may be considered as a percentage of the total surface that is covered by particulate matter.
  • AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest.
  • AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest.
  • At least 75% of the residue/contaminants are removed from the microelectronic device using the compositions described herein, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the residue/contaminants are removed.
  • compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • the present invention relates to an amine-free composition for cleaning post- CMP residue and contaminants, said first composition comprising at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water.
  • the first composition may further comprise at least one solvating agent, at least one surfactant, or a combination thereof.
  • the components in the first composition are present in the following range of weight percents, based on the total weight of the composition,
  • Component weight percent preferred wt.% oxidizing agent(s) about 0.01 wt% to about about 0.1 wt% to about
  • complexing agent(s) about 1 wt% to about 30 about 1 wt% to about wt% 25 wt%
  • the weight percent values of the components in the concentrated first composition will change as a factor of the dilution factor, as readily understood by one skilled in the art.
  • the first composition comprises, consists of, or consists essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water.
  • the first composition comprises, consists of, or consists essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, at least one solvating agent, and water.
  • the first composition comprises, consists of, or consists essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one surfactant, at least one buffering agent, and water.
  • the first composition comprises, consists of, or consists essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one surfactant, at least one solvating agent, at least one buffering agent, and water.
  • the water is preferably deionized. It should be appreciated that the at least one oxidizing agent can be added just upstream of or at the cleaning apparatus.
  • the pH range of the first composition is in a range from about 2.5 to about 12.
  • a slightly acidic first composition will be preferred, for example in a range from about 2.5 to 7, and other times a slightly basic first composition will be preferred, for example in a range from 7 to about 12.
  • compositions described herein may have utility in applications including, but not limited to, post-etch residue removal, post-ash residue removal surface preparation, post-plating cleaning, post-CMP residue removal, copper seed etching/cleaning, through silicon via (TSV) cleaning, MEMS cleaning, and cobalt and cobalt alloy surface cleaning.
  • TSV silicon via
  • the basic compounds contemplated include alkali metal hydroxides, ammonium hydroxide, and combinations thereof, wherein the alkali metal hydroxides include KOH, CsOH, and combinations thereof.
  • the basic compound(s) for the first composition comprise KOH.
  • the complexing agents contemplated herein include organic acids, comprising at least one COOH group or carboxylate group in a salt thereof, including, but not limited to, lactic acid, maleic acid, ascorbic acid, malic acid, citric acid, benzoic acid, fumaric acid, succinic acid, oxalic acid, malonic acid, mandelic acid, maleic anhydride, phthalic acid, aspartic acid, glutamic acid, glutaric acid, glycolic acid, glyoxylic acid, phenylacetic acid, quinic acid, pyromellitic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, gluconic acid, glyceric acid, formic acid, acetic acid, propionic acid, acrylic acid, adipic acid, itaconic acid, glucuronic acid, glycine, lysine, ⁇ -alanine, histidine, phenylalanine, cysteine, le
  • complexing agents contemplated, as an alternative or in addition to, include phosphonic acid and derivatives thereof (e.g., hydroxyethylidene diphosphonic acid (HEDP), l-hydroxyethane-1,1- diphosphonic acid, nitrilo-tris(methylenephosphonic acid), salicylic acid,p-toluenesulfonic acid, sulfosalicylic acid and derivatives thereof, and any combination thereof.
  • the complexing agent for the first composition comprises citric acid, HEDP, sulfosalicylic acid, and any combination thereof.
  • Oxidizing agents contemplated include ozone, nitric acid, bubbled air, cyclohexylaminosulfonic acid, hydrogen peroxide (H 2 O 2 ), FeC3 ⁇ 4 (both hydrated and unhydrated), oxone (2KHSO 5 KHSO 4 K 2 SO 4 ), ammonium polyatomic salts (e.g., ammonium peroxomonosulfate, ammonium chlorite (NH 4 CIO 2 ), ammonium chlorate (NH 4 CIO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 CIO 4 ), ammonium periodate (NH 4 IO 3 ), ammonium persulfate ((NH 4 ) 2 S 2 0g), ammonium hypochlorite (NH 4 CIO)), sodium perborate (NaBOs), sodium polyatomic salts (e.g., sodium persulf
  • Buffering agents are added to stabilize the first composition during dilution and manufacturing as well as achieve the appropriate compositional pH, as readily determined by the skilled artisan.
  • Buffering agents contemplated include, but are not limited to, dipotassium phosphate, potassium carbonate, boric acid, lysine, proline, ⁇ -alanine, ethylenediamine tetraacetic acid (EDTA), diethylenetriaminepentaacetic acid (DTPA), dimethyl glyoxime, dibasic phosphate salts (e.g., (NH 4 )H 2 P0 4 , K 2 HP0 4 ), tribasic phosphate salts (e.g., (NH 4 ) 3 P0 4 , K 3 P0 4 ), mixtures of dibasic and tribasic phosphate salts (e.g., K 2 HP0 4 /K 3 P0 4 ), mixures of dibasic and tribasic carbonate salts (e.g., K 2 C0 3 /KHC
  • Preferred buffering agents include dibasic phosphate salts (e.g., (NH 4 )H 2 P0 4 , K 2 HP0 4 ), tribasic phosphate salts (e.g., (NH 4 ) 3 P0 4 , K 3 P0 4 ),mixtures of dibasic and tribasic phosphate salts (e.g., K 2 HP0 4 /K 3 P0 4 ), HEDP, and combinations thereof.
  • dibasic phosphate salts e.g., (NH 4 )H 2 P0 4 , K 2 HP0 4
  • tribasic phosphate salts e.g., (NH 4 ) 3 P0 4 , K 3 P0 4
  • mixedtures of dibasic and tribasic phosphate salts e.g., K 2 HP0 4 /K 3 P0 4
  • HEDP HEDP
  • solvating agents contemplated include, but are not limited to, 2-pyrrolidinone, l-(2-hydroxyethyl)-2-pyrrolidinone, glycerol, 1 ,4-butanediol, tetramethylene sulfone (sulfolane), dimethyl sulfone, ethylene glycol, propylene glycol, dipropylene glycol, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether,
  • surfactants for use in the compositions described herein include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, zwitterionic surfactants, non- ionic surfactants, and combinations thereof including, but not limited to, decylphosphonic acid, dodecylphosphonic acid (DDPA), tetradecylphosphonic acid, hexadecylphosphonic acid, bis(2- ethylhexyl)phosphate, octadecylphosphonic acid, perfluoroheptanoic acid, prefluorodecanoic acid, trifluoromethanesulfonic acid, phosphonoacetic acid, dodecylbenzenesulfomc acid (DDBSA), other Ri benzene sulfonic acids or salts thereof (where the Ri is a straight-chained or branched Cg-Cig alkyl group), dodecen
  • Non- ionic surfactants contemplated include, but are not limited to, polyoxyethylene lauryl ether (Emalmin NL-100 (Sanyo), Brij 30, Brij 98, Brij 35), dodecenylsuccinic acid monodiethanol amide (DSDA, Sanyo), ethylenediamine tetrakis (ethoxylate-block-propoxylate) tetrol (Tetronic 90R4), polyethylene glycols (e.g., PEG400), polypropylene glycols, polyethylene or polypropylene glycol ethers, block copolymers based on ethylene oxide and propylene oxide (Newpole PE-68 (Sanyo), Pluronic L31, Pluronic 31R1, Pluronic L61, Pluronic F-127), polyoxypropylene sucrose ether (SN008S, Sanyo), t- octylphenoxypolyethoxyethanol (Triton X100), 10-ethoxy-9,9-di
  • Cationic surfactants contemplated include, but are not limited to, cetyl trimethylammonium bromide (CTAB), heptadecanefluorooctane sulfonic acid, tetraethylammonium, stearyl trimethylammonium chloride (Econol TMS-28, Sanyo), 4-(4- diethylaminophenylazo)-l-(4-nitrobenzyl)pyridium bromide, cetylpyridinium chloride monohydrate, benzalkonium chloride, benzethonium chloride benzyldimethyldodecylammonium chloride, benzyldimethylhexadecylammonium chloride, hexadecyltrimethylammonium bromide, dimethyldioctadecylammonium chloride, dodecyltrimethylammonium chloride, hexadecyltrimethylammonium p
  • Anionic surfactants contemplated include, but are not limited to, poly(acrylic acid sodium salt), ammonium polyacrylate (e.g., DARVAN 821 A), sodium polyoxyethylene lauryl ether, sodium dihexylsulfosuccinate, sodium dodecyl sulfate, dioctylsulfosuccinate salt, 2-sulfosuccinate salts, 2,3-dimercapto-l-propanesulfonic acid salt, dicyclohexyl sulfosuccinate sodium salt, sodium 7-ethyl-2-methyl-4-undecyl sulfate (Tergitol 4), SODOSIL RM02, phosphate fluorosurfactants such as Zonyl FSJ and ZONYL® UR, fluorosurfactants such as NOVEC 4300, and polyacrylates such as SOKALAN CP10S.
  • poly(acrylic acid sodium salt) e.g., D
  • Zwitterionic surfactants include, but are not limited to, acetylenic diols or modified acetylenic diols (e.g., SURFONYL® 504), ethylene oxide alkylamines (AOA-8, Sanyo), ⁇ , ⁇ -dimethyldodecylamine N- oxide, sodium cocaminpropinate (LebonApl-D, Sanyo), 3-(N,N- dimethylmyristylammonio)propanesulfonate, and (3 -(4-heptyl)phenyl-3 - hydroxypropyl)dimethylammoniopropanesulfonate.
  • acetylenic diols or modified acetylenic diols e.g., SURFONYL® 504
  • ethylene oxide alkylamines AOA-8, Sanyo
  • ⁇ , ⁇ -dimethyldodecylamine N- oxide sodium cocaminpropinate
  • the at least one surfactant for the composition of the first aspect comprises dodecylbenzene sulfonic acid, PEG400, ZONYL FSO, Tween 80, SURFONIC LF-41, IGEPAL Co 890, DARVAN 821A, NOVEC 4300, CRODAQUAT TES, PLURONIC F-127, SOKALAN CP10S, and combinations thereof.
  • the first compositions described herein are preferably substantially devoid of abrasive material typically used in chemical mechanical polishing processes (before the initiation of cleaning), fluoride-containing sources, amines, quaternary ammonium bases, and any combination thereof.
  • Quaternary ammonium bases include compounds having the formula NR 1 R 2 R 3 R 4 OH, wherein R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C6-C10 aryl, e.g., benzyl, with the proviso at least one of R 1 , R 2 , R 3 or R 4 has to be a component other than hydrogen.
  • Ci-Ce alkyl e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl
  • C6-C10 aryl e.g., benzyl
  • the first composition can further include cleaning additives such as polyelectrolytes (e.g., polyacrylic acid, poly( acrylic -co-maleic acid), 1 ,2,4-triazole and derivatives thereof), copper ion sources, and combinations thereof.
  • cleaning additives such as polyelectrolytes (e.g., polyacrylic acid, poly( acrylic -co-maleic acid), 1 ,2,4-triazole and derivatives thereof), copper ion sources, and combinations thereof.
  • the first composition comprises, consists of, or consists essentially of urea hydrogen peroxide, citric acid, KOH, at least one surfactant, at least one buffering agent, and water.
  • the first composition comprises, consists of, or consists essentially of urea hydrogen peroxide, citric acid, KOH, at least one surfactant, at least one buffering agent, sulfolane, and water.
  • the first composition comprises, consists of, or consists essentially of (i) at least one oxidizing agent comprising a species selected from the group consisting of hydrogen peroxide, urea hydrogen peroxide, NMMO, and combinations thereof, (ii) at least one basic compound comprising KOH, (iii) at least one solvating agent selected from the group consisting of sulfolane, l-(2-hydroxyethyl)-2-pyrrolidinone, and combinations thereof, (iv) at least one buffering agent comprising a dibasic phosphate salt, (v) at least one complexing agent comprising a species selected from the group consisting of 5-sulfosalicylic acid and derivatives thereof, HEDP, and combinations thereof, (iv) and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride -containing sources, and abrasive material typically used in chemical mechanical polishing processes, wherein the pH is in a range from about 7 to
  • a concentrated first composition that can be diluted for use as a cleaning solution.
  • a concentrated composition, or "concentrate,” advantageously permits a user, e.g. CMP process engineer, to dilute the concentrate to the desired strength and acidity at the point of use. Dilution of the concentrated first composition may be in a range from about 1 : 1 to about 2500: 1, wherein the first composition is diluted at or just before the tool with solvent, e.g., deionized water.
  • first composition described herein An important feature of the first composition described herein is that the non-aqueous constituents (the constituents other than water) are present in the composition in small quantities, often less than about 20 % by weight. This is an economic advantage since an effective first composition can be formulated more economically, which is of importance since post-CMP first compositions are used in large quantities. Furthermore, because the first composition is water-based, the first compositions described herein are more easily disposed of. Notably, the life of the first composition is dependent only on particle loading and as such, the first composition is recyclable.
  • the first compositions described herein comprise, consist of, or consist essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, optionally at least one surfactant, optionally at least one solvating agent, water, residue and/or contaminants.
  • the residue and contaminants may be dissolved and/or suspended in the first composition described herein.
  • the residue includes post-CMP residue.
  • the first compositions described herein are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the first compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • concentrations of the respective ingredients may be widely varied in specific multiples of the first composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the first compositions can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • kits including, in one or more containers, one or more components adapted to form the compositions of the first aspect of the invention.
  • the kit includes, in one or more containers, at least one complexing agent, at least one basic compound, at least one buffering agent, optionally at least one surfactant, optionally at least one solvating agent, and water, for combining with additional water, at least one oxidizing agent, or both, at the fab or the point of use.
  • the containers of the kit must be suitable for storing and shipping said first composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the present invention relates to an acidic, amine-free composition for cleaning post-CMP residue and contaminants, said second composition comprising at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, and water.
  • the second composition may further comprise at least one dispersing agent, at least one surfactant, at least one oxidizing agent, or any combination thereof.
  • the components in the second composition are present in the following range of weight percents, based on the total weight of the composition, component weight percent preferred wt.% complexing agent(s) about 1 wt% to about 30 about 5 wt% to about wt% 25 wt%
  • optional dispersing agent(s) (when about 0.01 wt% to about about 0.1 wt% to about present) 2 wt% 1 wt%
  • optional surfactant(s) (when present) about 0.001 wt% to about 0.001 wt% to
  • the weight percent values of the components in the concentrated second composition will change as a factor of the dilution factor, as readily understood by one skilled in the art.
  • the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, and water.
  • the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one dispersing agent, at least one basic compound, and water.
  • the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, at least one surfactant, and water.
  • the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid- containing hydrocarbon, at least one basic compound, at least one surfactant, at least one dispersing agent, and water.
  • the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one dispersing agent, at least one basic compound, at least one oxidizing agent, and water.
  • the water is preferably deionized.
  • KOH is used as the basic compound.
  • the pH range of the second composition is less than about 7.0, more preferably less than 4.5, still more preferably in a range from about 1 to about 4, and most preferably in a range from about 2 to about 3.
  • the second compositions described herein may have utility in applications including, but not limited to, post-etch residue removal, post-ash residue removal surface preparation, post-plating cleaning, post-CMP residue removal, copper seed etching/cleaning, through silicon via (TSV) cleaning, MEMS cleaning, and cobalt and cobalt alloy surface cleaning.
  • TSV silicon via
  • Illustrative surfactants for use in the compositions described herein include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, zwitterionic surfactants, non- ionic surfactants, and combinations thereof, as introduced herein for the first composition.
  • the at least one surfactant of the second composition comprises dodecylbenzene sulfonic acid, dodecyl phosphonic acid, and dodecyl phosphate.
  • the basic compounds contemplated include alkali metal hydroxides, ammonium hydroxide, and combinations thereof, wherein the alkali metal hydroxides include KOH, CsOH, and combinations thereof.
  • the basic compound(s) of the second composition comprise KOH.
  • the dispersing agent used in the second composition described herein is included to increase dispersancy and minimize redeposition of the removed residue and contaminants at the surface of the microelectronic device wafer.
  • Dispersing agents contemplated herein include organic polymers containing acrylic acid or its salts having an average molecular weight of less than 15,000, hereinafter referred to as low molecular weight acrylic acid-containing polymer.
  • the low molecular weight acrylic acid-containing polymer has an average molecular weight of less than 15,000, preferably from about 3,000 to about 10,000.
  • the low molecular weight acrylic acid-containing polymer may be either a homopolymer or a copolymer including the essential acrylic acid or acrylic acid salt monomer units.
  • Copolymers may include essentially any suitable other monomer units including modified acrylic, fumaric, maleic, itaconic, aconitic, mesaconic, citraconic, and methylenemalonic acid or their salts, maleic anhydride, alkylene, vinylmethyl ether, styrene and any mixtures thereof.
  • Preferred dispersing agents include poly(acrylic) acids such as commercially available low molecular weight acrylic acid containing homopolymers include those sold under the tradename Acusol 445 (Rohm and Haas, Philadelphia, PA, USA) or Sokalon.
  • the sulfonic acid-containing hydrocarbons contemplated herein include straight chain and branched Ci-C 6 alkane, e.g., methane, ethane, propane, butane, pentane, hexane, sulfonic acids, straight chain and branched C 2 -C 6 alkene, e.g., ethane, propene, butane, pentene, hexane, sulfonic acids, and substituted or unsubstituted C 6 -Ci 4 aryl sulfonic acids, and salts thereof, e.g., sodium, potassium, etc..
  • Ci-C 6 alkane e.g., methane, ethane, propane, butane, pentane, hexane, sulfonic acids
  • straight chain and branched C 2 -C 6 alkene e.g., ethane, propene, butane, pentene
  • Sulfonic acid-containing hydrocarbons include methanesulfonic acid (MSA), ethanesulfonic acid, propanesulfonic acid, butanesulfonic acid, pentanesulfonic acid, hexanesulfonic acid, ethenesulfonic acid, toluenesulfonic acid, and combinations thereof.
  • MSA methanesulfonic acid
  • ethanesulfonic acid propanesulfonic acid
  • butanesulfonic acid pentanesulfonic acid
  • hexanesulfonic acid ethenesulfonic acid
  • toluenesulfonic acid and combinations thereof.
  • the sulfonic-acid containing hydrocarbon comprises MSA.
  • the complexing agents contemplated herein include species introduced hereinabove for the first composition.
  • the complexing agent for the second composition comprises citric acid.
  • the oxidizing agents contemplated herein include species introduced hereinabove for the first composition.
  • the oxidizing agent comprises hydrogen peroxide or urea hydrogen peroxide.
  • the second compositions of the invention are preferably substantially devoid of abrasive material typically used in chemical mechanical polishing processes (before the initiation of cleaning), fluoride-containing sources, amines, quaternary ammonium bases, and any combination thereof.
  • Quaternary ammonium bases include compounds having the formula NR 1 R 2 R 3 R 4 OH, wherein R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C 6 -Ci 0 aryl, e.g., benzyl, with the proviso at least one of R 1 , R 2 , R 3 or R 4 has to be a component other than hydrogen.
  • Ci-Ce alkyl e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl
  • C 6 -Ci 0 aryl e.g., benzyl
  • the second composition can further include cleaning additives such as polyelectrolytes (e.g., polyacrylic acid, poly( acrylic -co-maleic acid), 1 ,2,4-triazole and derivatives thereof), buffering agents, solvating agents, copper ion sources, and combinations thereof.
  • cleaning additives such as polyelectrolytes (e.g., polyacrylic acid, poly( acrylic -co-maleic acid), 1 ,2,4-triazole and derivatives thereof), buffering agents, solvating agents, copper ion sources, and combinations thereof.
  • the second composition comprises, consists of, or consists essentially of methanesulfonic acid, citric acid, KOH and water.
  • the second composition comprises, consists of, or consists essentially of methanesulfonic acid, citric acid, KOH, hydrogen peroxide, and water.
  • the second composition may be formulated in the following formulations, wherein the active ingredients in the formulations are at the following weight percents, based on the total weight of the composition, with the balance being water: complexing acid cont. surfactant dispersing
  • a concentrated second composition that can be diluted for use as a cleaning solution.
  • a concentrated second composition, or "concentrate,” advantageously permits a user, e.g. CMP process engineer, to dilute the concentrate to the desired strength and acidity at the point of use. Dilution of the concentrated second composition may be in a range from about 1 : 1 to about 2500:1, wherein the second composition is diluted at or just before the tool with solvent, e.g., deionized water. It is to be appreciated by one skilled in the art that following dilution, the range of weight percent ratios of the components disclosed herein should remain unchanged.
  • the non-aqueous constituents are present in the composition in small quantities, often less than about 10 % by weight. This is an economic advantage since an effective second composition can be formulated more economically, which is of importance since post-CMP second compositions are used in large quantities. Furthermore, because the second composition is water- based, the second compositions of the invention are more easily disposed of. Notably, the life of the second composition is dependent only on particle loading and as such, the second composition is recyclable.
  • the second compositions described herein comprise, consist of, or consist essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, water, residue and/or contaminants, optionally at least one dispersing agent, optionally at least one surfactant, and optionally at least one oxidizing agent.
  • the residue and contaminants may be dissolved and/or suspended in the second composition described herein.
  • the residue includes post-CMP residue.
  • methanesulfonic acid is corrosive to copper (Merck Index, 11 ⁇ ed., 1989, pg 938).
  • the second compositions described herein comprising methanesulfonic acid do not readily corrode exposed copper, aluminum and/or tungsten interconnect material.
  • the dielectric material, including low-k dielectric material such as TEOS, BLACK DIAMONDTM, and other ultra low-k dielectric materials, on the microelectronic device is not compromised by the second compositions of the invention.
  • the second compositions described herein are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the second compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • concentrations of the respective ingredients may be widely varied in specific multiples of the second composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the second compositions can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • kits including, in one or more containers, one or more components adapted to form the compositions of the invention.
  • the kit includes, in one or more containers, at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, water, optionally at least one dispersing agent, and optionally at least one surfactant, for combining with additional water and/or at least one oxidizing agent (when present) at the fab or the point of use.
  • the containers of the kit must be suitable for storing and shipping said second composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the amine-free first and second compositions are usefully employed to clean post-CMP residue and contaminants from the surface of the microelectronic device.
  • the amine-free first and second compositions do not damage low-k dielectric materials or substantially corrode metal interconnects, e.g., copper, on the device surface.
  • the amine-free first and second compositions remove at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99%.
  • the amine-free first or second compositions may be used with a large variety of conventional cleaning tools such as megasonics and brush scrubbing, including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ single wafer spray rinse, Applied Materials Mirra- MesaTM /ReflexionTM/Reflexion LKTM, and Megasonic batch wet bench systems.
  • megasonics and brush scrubbing including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ single wafer spray rinse, Applied Materials Mirra- MesaTM /ReflexionTM/Reflexion LKTM, and Megasonic batch wet bench systems.
  • the amine-free first or second compositions for cleaning post-CMP residue and contaminants from microelectronic devices having same thereon, typically is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 15 sec to 5 min, at temperature in a range of from about 20°C to about 50°C.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially clean the post-CMP residue/contaminants from the device.
  • At least partially clean and substantially removal both correspond to at removal of at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferred at least 99 %
  • the amine-free first or second composition may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions of the present invention.
  • the rinse solution includes deionized water.
  • the device may be dried using nitrogen or a spin-dry cycle.
  • Yet another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.
  • Another aspect relates to a recycled first or second composition.
  • the first or second composition may be reused until residue and/or contaminant loading reaches the maximum amount the respective composition may accommodate, as readily determined by one skilled in the art.
  • a still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with an first or second composition for sufficient time to clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, and incorporating said microelectronic device into said article.
  • formulations A-I for removing BTA from a device surface as well as the copper etch rate and roughness was evaluated.
  • Each formulation was diluted 30:1 with DI water.
  • the device was a copper coupon that was pretreated with citric acid for 5 minutes to remove the native oxide. Thereafter, the coupon was immersed in a 1 wt% BTA solution for 5 minutes and rinsed with DI water.
  • the wafer was immersed in each specific formulation at room temperature with 400 rpm agitation, followed by a deionized water rinse and a N 2 dry. Metrology was performed using a pre and post grazing angle-FTIR.
  • Table 1 BTA removal efficiency, copper etch rate and copper surface roughness.
  • compositions having the following ranges were prepared and the efficiency of BTA removal as well as the copper etch rate and the copper roughness determined.
  • Formulation AA 3.5 wt% KH 2 P0 4 , 19.36 wt% N-(2-hydroxyethyl)-2-pyrrolidone, 4 wt% HEDP (60%), KOH to bring the pH to 10.5, balance water
  • Formulations BB 0.2-0.9 wt% urea H 2 0 2 , 1-5 wt% KH 2 P0 4 , 15-35 wt% N-(2-hydroxyethyl)-2- pyrrolidone, 2-15 wt% HEDP (60%), KOH to bring the pH to 10.5-11.5, balance water
  • Formulations CC 0.2-0.9 wt% urea H 2 0 2 , 0.01-0.1 wt% NMMO (47%), 1-5 wt% KH 2 P0 4 , 15-35 wt% N-(2-hydroxyethyl)-2-pyrrolidone, 2-15 wt% HEDP (60%), KOH to bring the pH to 10.5-11.5, balance water
  • Formulations DD 0.2-0.9 wt% H 2 0 2 , 1-5 wt% KH 2 P0 4 , 15-35 wt% N-(2-hydroxyethyl)-2- pyrrolidone, 2-15 wt% HEDP (60%), KOH to bring the pH to 10.5-11.5, balance water
  • Formulations EE 0.2-0.9 wt% H 2 0 2 , 0.01-0.1 wt% NMMO (47%), 1-5 wt% KH 2 P0 4 , 15-35 wt% N- (2-hydroxyethyl)-2-pyrrolidone, 2-15 wt% HEDP (60%), KOH to bring the pH to 10.5-11.5, balance water
  • Formulations FF 0.2-0.9 wt% urea H 2 0 2 , 0.01-0.1 wt% NMMO (47%), 1-5 wt% KH 2 P0 4 , 10-20 wt% sulfolane, 2-8 wt% 5-sulfosalicylic acid, KOH to bring the pH to 10.5-11.5, balance water
  • Formulations GG 0.2-0.9 wt% urea H 2 0 2 , 1-5 wt% KH 2 P0 4 , 10-20 wt% sulfolane, 2-8 wt% 5- sulfosalicylic acid, KOH to bring the pH to 10.5-11.5, balance water
  • Formulations HH 0.2-0.9 wt% urea H 2 0 2 , 1-5 wt% KH 2 P0 4 , 10-20 wt% sulfolane, 2-8 wt% citric acid, KOH to bring the pH to 10.5-11.5, balance water

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

An amine-free composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The amine-free composition preferably includes at least one oxidizing agent, at least one complexing agent, at least one basic compound, and water and has a pH in the range from about 2.5 to about 11.5. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.

Description

POST-CMP REMOVAL USING COMPOSITIONS AND METHOD OF USE
CROSS REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority to U.S. Provisional Patent Application No. 61/599,162 filed February 15, 2012 in the name of Jun Liu, Jeffrey Barnes, Emanuel I. Cooper, Laisheng Sun, Steven Medd, Jieh-Hwa Shyu, Lucy Dai, and Zachary Wan entitled "Improved Post-Chemical Mechanical Polishing Formulations and Methods of Using Same," to U.S. Provisional Patent Application No. 61/651,287 filed May 24, 2012 in the name of Jun Liu, Jeffrey A. Barnes, Laisheng Sun, and Elizabeth Thomas entitled "Low pH Post-CMP Residue Removal Composition and Method of Use," to U.S. Provisional Patent Application No. 61/656,992 filed June 7, 2012 in the name of Jun Liu, Jeffrey A. Barnes, Laisheng Sun, and Elizabeth Thomas entitled "Low pH Post-CMP Residue Removal Composition and Method of Use," and to U.S. Provisional Patent Application No. 61/661,160 filed June 18, 2012 in the name Jun Liu, Jeffrey A. Barnes, Emanuel I. Cooper, Laisheng Sun, Elizabeth Thomas, and Jason Chang entitled "Post-CMP Removal Using Compositions Comprising Surfactant," each of which is incorporated by reference herein in their entirety.
FIELD OF THE INVENTION
[0002] The present invention relates to amine-free compositions for substantially and efficiently cleaning residue and/or contaminants from microelectronic devices having same thereon.
DESCRIPTION OF THE RELATED ART
[0003] It is well known that integrated circuit (IC) manufacturers have replaced aluminum and aluminum alloys with copper for advanced microelectronic applications because copper has a higher conductivity that translates to significant improvement in the interconnect performance. In addition, copper-based interconnects offer better electromigration resistance than aluminum, thereby improving the interconnect reliability. That said, the implementation of copper faces certain challenges. For example, the adhesion of copper (Cu) to silicon dioxide (Si02) and to other dielectric materials is generally poor. Poor adhesion results in the delamination of Cu from adjoining films during the manufacturing process. Also, Cu ions readily diffuse into Si02 under electrical bias, and increase the dielectric electrical leakage between Cu lines even at very low Cu concentrations within the dielectric. In addition, if copper diffuses into the underlying silicon where the active devices are located, device performance can be degraded. [0002] The problem of the high diffusivity of copper in silicon dioxide (S1O2), and in other inter- metal dielectrics (IMDs)/interlevel dielectrics (ILDs), remains of great concern. To deal with this issue, an integrated circuit substrate must be coated with a suitable barrier layer that encapsulates copper and blocks diffusion of copper atoms. The barrier layer, comprising both conductive and non- conductive materials, is typically formed over a patterned dielectric layer and prior to deposition of copper. Typical materials for the barrier layer include tantalum (Ta), tantalum nitride (TaNx), tungsten (W), titanium (Ti), titanium nitride (TiN), ruthenium (Ru), cobalt (Co), molybdenum (Mo), rhenium (Rh), and alloys thereof.
[0003] In the manufacturing of deep submicron semiconductors, the copper damascene process is used to form conductive copper lines and vias in the low-k dielectric layer. One important step of the damascene process is copper chemical mechanical polishing (CMP) for the removal of excess copper above the dielectric layer surface. The CMP process involves holding and rotating a thin, flat substrate of the semiconductor device against a wetted polishing pad under controlled pressure and temperature in the presence of CMP slurries. The slurries contain abrasive materials and chemical additives as appropriate to the specific CMP process and requirements. Following the CMP process, contaminants consisting of particles from the polishing slurry, chemicals added to the slurry, and reaction by-products of the polishing slurry are left behind on the wafer surface. All contaminants must be removed prior to any further steps in the microelectronic device fabrication process to avoid degradation of device reliability and introduction of defects into the device. Often, particles of these contaminants are smaller than 0.3 μιη.
[0004] One particular issue in this respect is the residues that are left on the microelectronic device substrate following CMP processing. Such residues include CMP material and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues can cause damage to copper lines or severely roughen the copper metallization, as well as cause poor adhesion of post-CMP applied layers on the device substrate. Severe roughening of copper metallization is particularly problematic, since overly rough copper can cause poor electrical performance of the product microelectronic device. Towards that end, post-CMP removal compositions have been developed to remove the post-CMP residue and contaminants.
[0005] Conventional cleaning techniques use fluid flow of a cleaning solution, e.g., alkaline solutions based on ammonium hydroxide, over the wafer surface in combination with megasonics, jetting or brushing to remove contaminants. Said cleaning solutions remove the contaminants by attacking the wafer surface or reacting with the contaminants before removing the dislodged contaminants from the wafer. Disadvantageously, some of the contaminants may be chemically inert to the chemical ingredients in the cleaning solutions. Furthermore, the amine -containing cleaning solutions known in the art smell and release amine vapors into the fab which can poison photoresist. [0006] It would be a significant advance in the art to provide improved amine-free compositions for post-CMP cleaning of the microelectronic devices, for the substantially defect-free and substantially scratch-free removal of CMP residue and contaminants from the surface of said device. Said aqueous compositions effectuate substantial residue and contaminant removal from the surface of the device without damaging the exposed low-k dielectric material and interconnect and via materials, e.g., copper and/or aluminum containing materials.
SUMMARY OF THE INVENTION
[0007] The present invention generally relates to an amine-free composition and process for cleaning residue and/or contaminants from microelectronic devices having said residue and contaminants thereon. In one aspect, the compositions described herein comprise, consist of, or consist essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride -containing sources, and abrasive material typically used in chemical mechanical polishing processes. Optionally, the cleaning composition may further include at least one solvating agent, at least one surfactant, or both. The residue may include post-CMP residue.
[0008] In another aspect, the invention relates to a kit comprising, in one or more containers, one or more of the following reagents for forming an amine-free composition, said one or more reagents selected from the group consisting of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride -containing sources, and abrasive material typically used in chemical mechanical polishing processes, and wherein the kit is adapted to form an amine-free composition suitable for cleaning post-CMP residue and contaminants from a microelectronic device having said residue and contaminants thereon. The at least one oxidizing agent can be added to the amine-free composition at or upstream of the cleaning apparatus.
[0009] In yet another aspect, the present invention relates to a method of cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with an amine-free composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device, wherein the amine-free composition comprises at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes. The residue may include post-CMP residue. [0010] In another aspect, the present invention relates to a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon, said method comprising: polishing the microelectronic device with a CMP slurry; contacting the microelectronic device with an amine-free composition comprising at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes, for a sufficient time to substantially remove post- CMP residue and contaminants from the microelectronic device.
[0011] In still another aspect, the present invention relates to a method of cleaning a microelectronic device having residue and contaminants thereon, said method comprising contacting the microelectronic device with an amine-free composition for sufficient time to remove residue and contaminants from the microelectronic device having same thereon, wherein said composition comprises at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes.
[0012] In still another aspect, the present invention relates to a method of cleaning a microelectronic device having post-CMP residue and contaminants thereon, said method comprising contacting the microelectronic device with an amine-free composition for sufficient time to remove post-CMP residue and contaminants from the microelectronic device having same thereon, wherein said composition comprises at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes.
[0013] In a further aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with an amine- free cleaning composition described herein for sufficient time to at least partially clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon.
[0014] Yet another aspect of the invention relates to improved microelectronic devices, and products incorporating same, made using the methods of the invention comprising cleaning of post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product.
[0015] Another aspect of the invention relates to an article of manufacture comprising an amine-free cleaning composition, a microelectronic device wafer, and post-CMP residue and contaminants, wherein the amine-free cleaning composition comprises at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes.
[0016] Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
DETAILED DESCRIPTION OF THE INVENTION. AND PREFERRED EMBODIMENTS
THEREOF
[0017] The present invention relates generally to amine-free compositions that clean post-CMP residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are compatible with the exposed materials, while substantially removing the post-CMP residue and contaminants from the surface of the microelectronic device.
[0018] For ease of reference, "microelectronic device" corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. . It is to be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
[0019] As used herein, "residue" corresponds to particles generated during the manufacture of a microelectronic device including, but not limited to, plasma etching, ashing, chemical mechanical polishing, wet etching, and combinations thereof.
[0020] As used herein, "contaminants" correspond to chemicals present in the CMP slurry, e.g., benzotriazole (BTA), reaction by-products of the polishing slurry, chemicals present in the wet etching composition, reaction by products of the wet etching composition, and any other materials that are the by-products of the CMP process, the wet etching, the plasma etching or the plasma ashing process.
[0021] As used herein, "post-CMP residue" corresponds to particles from the polishing slurry, e.g., silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, organic residues, and any other materials that are the byproducts of the CMP process.
[0022] As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon- containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, carbon-doped oxide (CDO) glass, CORAL™ from Novellus Systems, Inc., BLACK DIAMOND™ from Applied Materials, Inc., SiLK™ from Dow Corning, Inc., and NANOGLASS™ of Nanopore, Inc, and the like. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
[0023] As defined herein, "clean amine-free compositions" correspond to the amine-free compositions just prior to contact with the microelectronic device having post-CMP and/or contaminants thereon.
[0024] As defined herein, "complexing agent" includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents and/or sequestering agents. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions of the present invention.
[0025] As defined herein, the term "barrier material" corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g., copper, into the dielectric material. Conventional barrier layer materials include tantalum or titanium, their nitrides and silicides, and alloys thereof. Candidate materials that could serve as directly plateable diffusion barriers include ruthenium (Ru), cobalt (Co), tungsten (W), molybdenum (Mo), rhenium (Rh), and alloys thereof.
[0026] As used herein, "about" is intended to correspond to ± 5 % of the stated value.
[0027] "Substantially devoid" is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, even more preferably less than 0.1 wt. %, and most preferably 0 wt%.
[0028] As defined herein, "post-etch residue" corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue including, but not limited to, oxygen and fluorine. "Post-ash residue," as used herein, corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or bottom anti-reflective coating (BARC) materials. The post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature. [0029] It is understood to the skilled artisan that ammonium hydroxide (NH4OH) can be used interchangeably with ammonia (NH3) when the composition is aqueous.
[0030] For the purposes of this invention, an "amine" is defined as at least one primary, secondary, or tertiary amine, with the proviso that (i) an amide group, (ii) species including both a carboxylic acid group and an amine group (e.g., amino acids), (iii) ammonia, (iv) surfactants that include amine groups, and (v) amine -N-oxides are not considered "amines" according to this definition. The amine formula can be represented by NR 1 R2 R 3 , wherein R 1 , R2 and R 3 can be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Cp C6 alkyls (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl), C6-Ci0 aryls (e.g., benzyl), straight-chained or branched Ci-Ce alkanols (e.g., methanol, ethanol, propanol, butanol, pentanol, hexanol), and combinations thereof.
[0031] As used herein, "suitability" for cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon corresponds to at least partial removal of said residue/contaminants from the microelectronic device. Cleaning efficacy is rated by the reduction of objects on the microelectronic device. For example, pre- and post-cleaning analysis may be carried out using an atomic force microscope. The particles on the sample may be registered as a range of pixels. A histogram (e.g., a Sigma Scan Pro) may be applied to filter the pixels in a certain intensity, e.g., 231-235, and the number of particles counted. The particle reduction may be calculated using:
(Number of PreClean Objects - Number of PostClean Objects)
Cleaning Efficacy x lOO
Number of PreClean Objects
Notably, the method of determination of cleaning efficacy is provided for example only and is not intended to be limited to same. Alternatively, the cleaning efficacy may be considered as a percentage of the total surface that is covered by particulate matter. For example, AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest. One skilled in the art would readily understand that the less area covered by said areas of interest post-cleaning, the more efficacious the cleaning composition. Preferably, at least 75% of the residue/contaminants are removed from the microelectronic device using the compositions described herein, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the residue/contaminants are removed.
[0032] Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
[0033] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
[0034] In a first aspect, the present invention relates to an amine-free composition for cleaning post- CMP residue and contaminants, said first composition comprising at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water. Optionally, the first composition may further comprise at least one solvating agent, at least one surfactant, or a combination thereof. The components in the first composition are present in the following range of weight percents, based on the total weight of the composition,
Component weight percent preferred wt.% oxidizing agent(s) about 0.01 wt% to about about 0.1 wt% to about
10 wt% 1 wt%
complexing agent(s) about 1 wt% to about 30 about 1 wt% to about wt% 25 wt%
basic compound(s) about 0.01 wt% to about about 0.01 wt% to
5 wt% about 5 wt% buffering agent(s) about 0.01 wt% to about about 0.1 wt% to about
10 wt% 5 wt%
water about 42 wt% to about about 66.5 wt% to
99 wt% about 95 wt% optional surfactant(s) (when present) about 0.001 wt% to about 0.001 wt% to
about 2 wt% about 1 wt% optional solvating agent(s) (when about 0.01 wt% to about about 5 wt% to about present) 30 wt% 20 wt%
Upon dilution, the weight percent values of the components in the concentrated first composition will change as a factor of the dilution factor, as readily understood by one skilled in the art.
[0035] In one embodiment, the first composition comprises, consists of, or consists essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water. In another embodiment, the first composition comprises, consists of, or consists essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, at least one solvating agent, and water. In still another embodiment, the first composition comprises, consists of, or consists essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one surfactant, at least one buffering agent, and water. In yet another embodiment, the first composition comprises, consists of, or consists essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one surfactant, at least one solvating agent, at least one buffering agent, and water. The water is preferably deionized. It should be appreciated that the at least one oxidizing agent can be added just upstream of or at the cleaning apparatus.
[0036] In the broad practice of the invention, the pH range of the first composition is in a range from about 2.5 to about 12. Depending on the nature of the surface to be cleaned, sometimes a slightly acidic first composition will be preferred, for example in a range from about 2.5 to 7, and other times a slightly basic first composition will be preferred, for example in a range from 7 to about 12.
[0037] The compositions described herein may have utility in applications including, but not limited to, post-etch residue removal, post-ash residue removal surface preparation, post-plating cleaning, post-CMP residue removal, copper seed etching/cleaning, through silicon via (TSV) cleaning, MEMS cleaning, and cobalt and cobalt alloy surface cleaning.
[0038] The basic compounds contemplated include alkali metal hydroxides, ammonium hydroxide, and combinations thereof, wherein the alkali metal hydroxides include KOH, CsOH, and combinations thereof. Preferably, the basic compound(s) for the first composition comprise KOH.
[0039] The complexing agents contemplated herein include organic acids, comprising at least one COOH group or carboxylate group in a salt thereof, including, but not limited to, lactic acid, maleic acid, ascorbic acid, malic acid, citric acid, benzoic acid, fumaric acid, succinic acid, oxalic acid, malonic acid, mandelic acid, maleic anhydride, phthalic acid, aspartic acid, glutamic acid, glutaric acid, glycolic acid, glyoxylic acid, phenylacetic acid, quinic acid, pyromellitic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, gluconic acid, glyceric acid, formic acid, acetic acid, propionic acid, acrylic acid, adipic acid, itaconic acid, glucuronic acid, glycine, lysine, β-alanine, histidine, phenylalanine, cysteine, leucine, serine, 8-hydroxyquinoline, 2,4-pentanedione, benzetetracarboxylic acid, pyruvic acid, tannic acid, sulfanilic acid, 2-hydroxyphosphonocarboxylic acid (HPAA), pyrocatecol, pyrogallol, gallic acid, tannic acid, ethylenediamine tetraacetic acid (EDTA), diethylenetriaminepentaacetic acid (DTPA), (l,2-cyclohexylenedinitrilo)tetraacetic acid (CDTA), iminidiacetic acid, 2-phosphonobutane-l,2,4-tricarboxylic acid (PBTCA), other aliphatic and aromatic carboxylic acids, salts thereof as well as combinations of the foregoing acids. Other complexing agents contemplated, as an alternative or in addition to, include phosphonic acid and derivatives thereof (e.g., hydroxyethylidene diphosphonic acid (HEDP), l-hydroxyethane-1,1- diphosphonic acid, nitrilo-tris(methylenephosphonic acid), salicylic acid,p-toluenesulfonic acid, sulfosalicylic acid and derivatives thereof, and any combination thereof. Preferably, the complexing agent for the first composition comprises citric acid, HEDP, sulfosalicylic acid, and any combination thereof.
[0040] Oxidizing agents contemplated include ozone, nitric acid, bubbled air, cyclohexylaminosulfonic acid, hydrogen peroxide (H2O2), FeC¾ (both hydrated and unhydrated), oxone (2KHSO5 KHSO4 K2SO4), ammonium polyatomic salts (e.g., ammonium peroxomonosulfate, ammonium chlorite (NH4CIO2), ammonium chlorate (NH4CIO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4CIO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S20g), ammonium hypochlorite (NH4CIO)), sodium perborate (NaBOs), sodium polyatomic salts (e.g., sodium persulfate (Na2S20g), sodium hypochlorite (NaCIO)), potassium polyatomic salts (e.g., potassium iodate (KIO3), potassium permanganate (KMn04), potassium persulfate, potassium persulfate (K2S208), potassium hypochlorite (KCIO)), tetramethylammonium polyatomic salts (e.g., tetramethylammonium chlorite ((N(CH3)4)C102), tetramethylammonium chlorate ((N(CH3)4)C103), tetramethylammonium iodate ((N(CH3)4)I03), tetramethylammonium perborate ((N(CH3)4)B03), tetramethylammonium perchlorate ((N(CH3)4)C10 ), tetramethylammonium periodate ((N(CH3)4)I0 ), tetramethylammonium persulfate ((N(CH3)4)S20g)), tetrabutylammonium polyatomic salts (e.g., tetrabutylammonium peroxomonosulfate), peroxomonosulfuric acid, ferric nitrate (Fe(N03)3), amine-N-oxides (e.g., N- methylmorpholine-N-oxide (NMMO); trimethylamine-N-oxide; triethylamine-N-oxide; pyridine-N- oxide; N-ethylmorpholine-N-oxide; N-methylpyrrolidine-N-oxide; N-ethylpyrrolidine-N-oxide) urea hydrogen peroxide ((CO(NH2)2)H202), peracetic acid (CH3(CO)OOH), periodic acid, potassium dichromate, potassium chlorate, 2-nitrophenol, 1 ,4-benzoquinone, peroxybenzoic acid, peroxyphthalic acid salts, vanadium oxides (e.g., VO2, VeOi3), ammonium metavanadate, ammonium tungstate, sodium nitrate, potassium nitrate, ammonium nitrate, strontium nitrate, sulfuric acid, and combinations thereof. Preferably, the oxidizing agent for the first composition comprises hydrogen peroxide, NMMO, urea hydrogen peroxide, and combinations thereof.
[0041] Buffering agents are added to stabilize the first composition during dilution and manufacturing as well as achieve the appropriate compositional pH, as readily determined by the skilled artisan. Buffering agents contemplated include, but are not limited to, dipotassium phosphate, potassium carbonate, boric acid, lysine, proline, β -alanine, ethylenediamine tetraacetic acid (EDTA), diethylenetriaminepentaacetic acid (DTPA), dimethyl glyoxime, dibasic phosphate salts (e.g., (NH4)H2P04, K2HP04), tribasic phosphate salts (e.g., (NH4)3P04, K3P04), mixtures of dibasic and tribasic phosphate salts (e.g., K2HP04/K3P04), mixures of dibasic and tribasic carbonate salts (e.g., K2C03/KHC03), hydroxyethylidene diphosphonic acid (HEDP), and combinations thereof. Preferred buffering agents include dibasic phosphate salts (e.g., (NH4)H2P04, K2HP04), tribasic phosphate salts (e.g., (NH4)3P04, K3P04),mixtures of dibasic and tribasic phosphate salts (e.g., K2HP04/K3P04), HEDP, and combinations thereof.
[0042] When present, solvating agents contemplated include, but are not limited to, 2-pyrrolidinone, l-(2-hydroxyethyl)-2-pyrrolidinone, glycerol, 1 ,4-butanediol, tetramethylene sulfone (sulfolane), dimethyl sulfone, ethylene glycol, propylene glycol, dipropylene glycol, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether (TPGME), dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof. Preferably, when present, the solvating agent for the first composition comprises sulfolane (tetramethylene sulfone), l-(2-hydroxyethyl)-2- pyrrolidinone, and combinations thereof.
[0043] When present, surfactants for use in the compositions described herein include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, zwitterionic surfactants, non- ionic surfactants, and combinations thereof including, but not limited to, decylphosphonic acid, dodecylphosphonic acid (DDPA), tetradecylphosphonic acid, hexadecylphosphonic acid, bis(2- ethylhexyl)phosphate, octadecylphosphonic acid, perfluoroheptanoic acid, prefluorodecanoic acid, trifluoromethanesulfonic acid, phosphonoacetic acid, dodecylbenzenesulfomc acid (DDBSA), other Ri benzene sulfonic acids or salts thereof (where the Ri is a straight-chained or branched Cg-Cig alkyl group), dodecenylsuccinic acid, dioctadecyl hydrogen phosphate, octadecyl dihydrogen phosphate, dodecylamine, dodecenylsuccinic acid monodiethanol amide, lauric acid, palmitic acid, oleic acid, juniperic acid, 12 hydroxystearic acid, octadecylphosphonic acid (ODPA), dodecyl phosphate. Non- ionic surfactants contemplated include, but are not limited to, polyoxyethylene lauryl ether (Emalmin NL-100 (Sanyo), Brij 30, Brij 98, Brij 35), dodecenylsuccinic acid monodiethanol amide (DSDA, Sanyo), ethylenediamine tetrakis (ethoxylate-block-propoxylate) tetrol (Tetronic 90R4), polyethylene glycols (e.g., PEG400), polypropylene glycols, polyethylene or polypropylene glycol ethers, block copolymers based on ethylene oxide and propylene oxide (Newpole PE-68 (Sanyo), Pluronic L31, Pluronic 31R1, Pluronic L61, Pluronic F-127), polyoxypropylene sucrose ether (SN008S, Sanyo), t- octylphenoxypolyethoxyethanol (Triton X100), 10-ethoxy-9,9-dimethyldecan-l -amine (TRITON® CF-32), Polyoxyethylene (9) nonylphenylether, branched, Polyoxyethylene (40) nonylphenylether, branched (e.g., IGEPAL Co 890), dinonylphenyl polyoxyethylene, nonylphenol alkoxylates (e.g., SURFONIC LF-41), polyoxyethylene sorbitol hexaoleate, polyoxyethylene sorbitol tetraoleate, polyethylene glycol sorbitan monooleate (Tween 80), sorbitan monooleate (Span 80), a combination of Tween 80 and Span 80, alcohol alkoxylates (e.g., Plurafac RA-20), alkyl-polyglucoside, ethyl perfluorobutyrate, l,l,3,3,5,5-hexamethyl-l,5-bis[2-(5-norbornen-2-yl)ethyl]trisiloxane, monomeric octadecylsilane derivatives such as SIS6952.0 (Siliclad, Gelest), siloxane modified polysilazane such as PP1-SG10 Siliclad Glide 10 (Gelest), silicone-polyether copolymers such as Silwet L-77 (Setre Chemical Company), Silwet ECO Spreader (Momentive), and ethoxylated fluorosurfactants (ZONYL® FSO, ZONYL® FSN-100). Cationic surfactants contemplated include, but are not limited to, cetyl trimethylammonium bromide (CTAB), heptadecanefluorooctane sulfonic acid, tetraethylammonium, stearyl trimethylammonium chloride (Econol TMS-28, Sanyo), 4-(4- diethylaminophenylazo)-l-(4-nitrobenzyl)pyridium bromide, cetylpyridinium chloride monohydrate, benzalkonium chloride, benzethonium chloride benzyldimethyldodecylammonium chloride, benzyldimethylhexadecylammonium chloride, hexadecyltrimethylammonium bromide, dimethyldioctadecylammonium chloride, dodecyltrimethylammonium chloride, hexadecyltrimethylammonium p-toluenesulfonate, didodecyldimethylammonium bromide, di(hydrogenated tallow)dimethylammonium chloride, tetraheptylammonium bromide ,tetrakis(decyl)ammonium bromide, Aliquat® 336 and oxyphenonium bromide, guanidine hydrochloride (C(NH2)3C1) or triflate salts such as tetrabutylammonium trifluoromethanesulfonate, dimethyldioctadecylammonium chloride, dimethyldihexadecylammonium bromide, di(hydrogenated tallow)dimethylammonium chloride (e.g., Arquad 2HT-75, Akzo Nobel), and polyoxyethylene (16) tallow ethylmonium ethosulfate (e.g., CRODAQUAT TES). Anionic surfactants contemplated include, but are not limited to, poly(acrylic acid sodium salt), ammonium polyacrylate (e.g., DARVAN 821 A), sodium polyoxyethylene lauryl ether, sodium dihexylsulfosuccinate, sodium dodecyl sulfate, dioctylsulfosuccinate salt, 2-sulfosuccinate salts, 2,3-dimercapto-l-propanesulfonic acid salt, dicyclohexyl sulfosuccinate sodium salt, sodium 7-ethyl-2-methyl-4-undecyl sulfate (Tergitol 4), SODOSIL RM02, phosphate fluorosurfactants such as Zonyl FSJ and ZONYL® UR, fluorosurfactants such as NOVEC 4300, and polyacrylates such as SOKALAN CP10S. Zwitterionic surfactants include, but are not limited to, acetylenic diols or modified acetylenic diols (e.g., SURFONYL® 504), ethylene oxide alkylamines (AOA-8, Sanyo), Ν,Ν-dimethyldodecylamine N- oxide, sodium cocaminpropinate (LebonApl-D, Sanyo), 3-(N,N- dimethylmyristylammonio)propanesulfonate, and (3 -(4-heptyl)phenyl-3 - hydroxypropyl)dimethylammoniopropanesulfonate. Preferably, the at least one surfactant for the composition of the first aspect comprises dodecylbenzene sulfonic acid, PEG400, ZONYL FSO, Tween 80, SURFONIC LF-41, IGEPAL Co 890, DARVAN 821A, NOVEC 4300, CRODAQUAT TES, PLURONIC F-127, SOKALAN CP10S, and combinations thereof.
[0044] The first compositions described herein are preferably substantially devoid of abrasive material typically used in chemical mechanical polishing processes (before the initiation of cleaning), fluoride-containing sources, amines, quaternary ammonium bases, and any combination thereof. Quaternary ammonium bases include compounds having the formula NR1R2R3R4OH, wherein R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C6-C10 aryl, e.g., benzyl, with the proviso at least one of R1, R2, R3 or R4 has to be a component other than hydrogen.
[0045] The first composition can further include cleaning additives such as polyelectrolytes (e.g., polyacrylic acid, poly( acrylic -co-maleic acid), 1 ,2,4-triazole and derivatives thereof), copper ion sources, and combinations thereof.
[0046] In a preferred embodiment, the first composition comprises, consists of, or consists essentially of urea hydrogen peroxide, citric acid, KOH, at least one surfactant, at least one buffering agent, and water. In still another preferred embodiment, the first composition comprises, consists of, or consists essentially of urea hydrogen peroxide, citric acid, KOH, at least one surfactant, at least one buffering agent, sulfolane, and water. In another preferred embodiment, the first composition comprises, consists of, or consists essentially of (i) at least one oxidizing agent comprising a species selected from the group consisting of hydrogen peroxide, urea hydrogen peroxide, NMMO, and combinations thereof, (ii) at least one basic compound comprising KOH, (iii) at least one solvating agent selected from the group consisting of sulfolane, l-(2-hydroxyethyl)-2-pyrrolidinone, and combinations thereof, (iv) at least one buffering agent comprising a dibasic phosphate salt, (v) at least one complexing agent comprising a species selected from the group consisting of 5-sulfosalicylic acid and derivatives thereof, HEDP, and combinations thereof, (iv) and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride -containing sources, and abrasive material typically used in chemical mechanical polishing processes, wherein the pH is in a range from about 7 to about 12. Preferably, the first composition has a copper etch rate less than 5 A min"1 and a BTA removal efficiency greater than 20%, more preferably greater than 30%, and most preferably greater than 40%>.
[0047] In one embodiment of the first aspect, a concentrated first composition is provided that can be diluted for use as a cleaning solution. A concentrated composition, or "concentrate," advantageously permits a user, e.g. CMP process engineer, to dilute the concentrate to the desired strength and acidity at the point of use. Dilution of the concentrated first composition may be in a range from about 1 : 1 to about 2500: 1, wherein the first composition is diluted at or just before the tool with solvent, e.g., deionized water.
[0048] An important feature of the first composition described herein is that the non-aqueous constituents (the constituents other than water) are present in the composition in small quantities, often less than about 20 % by weight. This is an economic advantage since an effective first composition can be formulated more economically, which is of importance since post-CMP first compositions are used in large quantities. Furthermore, because the first composition is water-based, the first compositions described herein are more easily disposed of. Notably, the life of the first composition is dependent only on particle loading and as such, the first composition is recyclable.
[0049] In yet another preferred embodiment, the first compositions described herein comprise, consist of, or consist essentially of at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, optionally at least one surfactant, optionally at least one solvating agent, water, residue and/or contaminants. Importantly, the residue and contaminants may be dissolved and/or suspended in the first composition described herein. Preferably, the residue includes post-CMP residue.
[0050] The first compositions described herein are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the first compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the first composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the first compositions can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
[0051] Accordingly, another aspect relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the first aspect of the invention. Preferably, the kit includes, in one or more containers, at least one complexing agent, at least one basic compound, at least one buffering agent, optionally at least one surfactant, optionally at least one solvating agent, and water, for combining with additional water, at least one oxidizing agent, or both, at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said first composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
[0052] In a second aspect, the present invention relates to an acidic, amine-free composition for cleaning post-CMP residue and contaminants, said second composition comprising at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, and water. Optionally, the second composition may further comprise at least one dispersing agent, at least one surfactant, at least one oxidizing agent, or any combination thereof. The components in the second composition are present in the following range of weight percents, based on the total weight of the composition, component weight percent preferred wt.% complexing agent(s) about 1 wt% to about 30 about 5 wt% to about wt% 25 wt%
sulfonic acid-containing about 0.1 wt% to about about 0.5 wt% to about hydrocarbon(s) 5 wt% 2.5 wt%
basic compound(s) less than about 5 wt% less than about 5 wt% water about 42 wt% to about about 66 wt% to about
99 wt% 95 wt%
optional dispersing agent(s) (when about 0.01 wt% to about about 0.1 wt% to about present) 2 wt% 1 wt%
optional surfactant(s) (when present) about 0.001 wt% to about 0.001 wt% to
about 1 wt% about 0.5 wt% optional oxidizing agent(s) (when about 0.01 wt% to about about 0.1 wt% to about present) 10 wt% 1 wt%
Upon dilution, the weight percent values of the components in the concentrated second composition will change as a factor of the dilution factor, as readily understood by one skilled in the art.
[0053] In one embodiment, the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, and water. In another embodiment, the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one dispersing agent, at least one basic compound, and water. In yet another embodiment, the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, at least one surfactant, and water. In still another embodiment, the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid- containing hydrocarbon, at least one basic compound, at least one surfactant, at least one dispersing agent, and water. In another embodiment, the second composition comprises, consists of, or consists essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one dispersing agent, at least one basic compound, at least one oxidizing agent, and water. The water is preferably deionized. Preferably, KOH is used as the basic compound.
[0054] In the broad practice of the invention, the pH range of the second composition is less than about 7.0, more preferably less than 4.5, still more preferably in a range from about 1 to about 4, and most preferably in a range from about 2 to about 3.
[0055] The second compositions described herein may have utility in applications including, but not limited to, post-etch residue removal, post-ash residue removal surface preparation, post-plating cleaning, post-CMP residue removal, copper seed etching/cleaning, through silicon via (TSV) cleaning, MEMS cleaning, and cobalt and cobalt alloy surface cleaning.
[0056] Illustrative surfactants for use in the compositions described herein include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, zwitterionic surfactants, non- ionic surfactants, and combinations thereof, as introduced herein for the first composition. Preferably, the at least one surfactant of the second composition comprises dodecylbenzene sulfonic acid, dodecyl phosphonic acid, and dodecyl phosphate.
[0057] The basic compounds contemplated include alkali metal hydroxides, ammonium hydroxide, and combinations thereof, wherein the alkali metal hydroxides include KOH, CsOH, and combinations thereof. Preferably, the basic compound(s) of the second composition comprise KOH.
[0058] The dispersing agent used in the second composition described herein is included to increase dispersancy and minimize redeposition of the removed residue and contaminants at the surface of the microelectronic device wafer. Dispersing agents contemplated herein include organic polymers containing acrylic acid or its salts having an average molecular weight of less than 15,000, hereinafter referred to as low molecular weight acrylic acid-containing polymer. The low molecular weight acrylic acid-containing polymer has an average molecular weight of less than 15,000, preferably from about 3,000 to about 10,000. The low molecular weight acrylic acid-containing polymer may be either a homopolymer or a copolymer including the essential acrylic acid or acrylic acid salt monomer units. Copolymers may include essentially any suitable other monomer units including modified acrylic, fumaric, maleic, itaconic, aconitic, mesaconic, citraconic, and methylenemalonic acid or their salts, maleic anhydride, alkylene, vinylmethyl ether, styrene and any mixtures thereof. Preferred dispersing agents include poly(acrylic) acids such as commercially available low molecular weight acrylic acid containing homopolymers include those sold under the tradename Acusol 445 (Rohm and Haas, Philadelphia, PA, USA) or Sokalon.
[0059] The sulfonic acid-containing hydrocarbons contemplated herein include straight chain and branched Ci-C6 alkane, e.g., methane, ethane, propane, butane, pentane, hexane, sulfonic acids, straight chain and branched C2-C6 alkene, e.g., ethane, propene, butane, pentene, hexane, sulfonic acids, and substituted or unsubstituted C6-Ci4 aryl sulfonic acids, and salts thereof, e.g., sodium, potassium, etc.. Sulfonic acid-containing hydrocarbons include methanesulfonic acid (MSA), ethanesulfonic acid, propanesulfonic acid, butanesulfonic acid, pentanesulfonic acid, hexanesulfonic acid, ethenesulfonic acid, toluenesulfonic acid, and combinations thereof. Most preferably, the sulfonic-acid containing hydrocarbon comprises MSA.
[0060] The complexing agents contemplated herein include species introduced hereinabove for the first composition. Preferably, the complexing agent for the second composition comprises citric acid.
[0061] The oxidizing agents contemplated herein include species introduced hereinabove for the first composition. Preferably, the oxidizing agent comprises hydrogen peroxide or urea hydrogen peroxide.
[0062] The second compositions of the invention are preferably substantially devoid of abrasive material typically used in chemical mechanical polishing processes (before the initiation of cleaning), fluoride-containing sources, amines, quaternary ammonium bases, and any combination thereof. Quaternary ammonium bases include compounds having the formula NR1R2R3R4OH, wherein R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C6-Ci0 aryl, e.g., benzyl, with the proviso at least one of R1, R2, R3 or R4 has to be a component other than hydrogen.
[0063] The second composition can further include cleaning additives such as polyelectrolytes (e.g., polyacrylic acid, poly( acrylic -co-maleic acid), 1 ,2,4-triazole and derivatives thereof), buffering agents, solvating agents, copper ion sources, and combinations thereof.
[0064] In a preferred embodiment, the second composition comprises, consists of, or consists essentially of methanesulfonic acid, citric acid, KOH and water. In still another preferred embodiment, the second composition comprises, consists of, or consists essentially of methanesulfonic acid, citric acid, KOH, hydrogen peroxide, and water.
[0065] The second composition may be formulated in the following formulations, wherein the active ingredients in the formulations are at the following weight percents, based on the total weight of the composition, with the balance being water:
Figure imgf000017_0001
complexing acid cont. surfactant dispersing
agent hydrocarbon agent
A 15 1.5 0.2 0.44
B 5 0.5 <5
C 5 2.5 <5
D 25 0.5 <5
E 25 2.5 <5
F 15 1.5 <5
G 15 0.5 0.2 <5
H 25 2.5 0.44 <5
I 15 0.5 0.2 0.44 <5
[0066] In one embodiment, a concentrated second composition is provided that can be diluted for use as a cleaning solution. A concentrated second composition, or "concentrate," advantageously permits a user, e.g. CMP process engineer, to dilute the concentrate to the desired strength and acidity at the point of use. Dilution of the concentrated second composition may be in a range from about 1 : 1 to about 2500:1, wherein the second composition is diluted at or just before the tool with solvent, e.g., deionized water. It is to be appreciated by one skilled in the art that following dilution, the range of weight percent ratios of the components disclosed herein should remain unchanged.
[0067] An important feature of the second composition described herein is that the non-aqueous constituents (the constituents other than water) are present in the composition in small quantities, often less than about 10 % by weight. This is an economic advantage since an effective second composition can be formulated more economically, which is of importance since post-CMP second compositions are used in large quantities. Furthermore, because the second composition is water- based, the second compositions of the invention are more easily disposed of. Notably, the life of the second composition is dependent only on particle loading and as such, the second composition is recyclable.
[0068] In yet another preferred embodiment, the second compositions described herein comprise, consist of, or consist essentially of at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, water, residue and/or contaminants, optionally at least one dispersing agent, optionally at least one surfactant, and optionally at least one oxidizing agent. Importantly, the residue and contaminants may be dissolved and/or suspended in the second composition described herein. Preferably, the residue includes post-CMP residue.
[0069] According to the Merck Index, methanesulfonic acid is corrosive to copper (Merck Index, 11 Λ ed., 1989, pg 938). Surprisingly, the second compositions described herein comprising methanesulfonic acid do not readily corrode exposed copper, aluminum and/or tungsten interconnect material. Furthermore, the dielectric material, including low-k dielectric material such as TEOS, BLACK DIAMOND™, and other ultra low-k dielectric materials, on the microelectronic device is not compromised by the second compositions of the invention. Moreover, unexpectedly, the inclusion of the basic compounds to the second composition to create a composition having a pH in a range from about 1 to about 4, and more preferably in a range from about 2 to about 3, resulted in a composition having increased BTA removal efficiency and a lower copper etch rate.
[0070] The second compositions described herein are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the second compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the second composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the second compositions can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
[0071] Accordingly, another aspect relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the invention. Preferably, the kit includes, in one or more containers, at least one complexing agent, at least one sulfonic acid-containing hydrocarbon, at least one basic compound, water, optionally at least one dispersing agent, and optionally at least one surfactant, for combining with additional water and/or at least one oxidizing agent (when present) at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said second composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
[0072] In a third aspect, the amine-free first and second compositions are usefully employed to clean post-CMP residue and contaminants from the surface of the microelectronic device. Importantly, the amine-free first and second compositions do not damage low-k dielectric materials or substantially corrode metal interconnects, e.g., copper, on the device surface. Preferably the amine-free first and second compositions remove at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99%.
[0073] In post-CMP residue and contaminant cleaning application, the amine-free first or second compositions may be used with a large variety of conventional cleaning tools such as megasonics and brush scrubbing, including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ single wafer spray rinse, Applied Materials Mirra- Mesa™ /Reflexion™/Reflexion LK™, and Megasonic batch wet bench systems.
[0074] In use of the amine-free first or second compositions for cleaning post-CMP residue and contaminants from microelectronic devices having same thereon, the amine-free first or second composition typically is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 15 sec to 5 min, at temperature in a range of from about 20°C to about 50°C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially clean the post-CMP residue/contaminants from the device. "At least partially clean" and "substantial removal" both correspond to at removal of at least 85 % of the residue present on the device prior to residue removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferred at least 99 %
[0075] Following the achievement of the desired cleaning action, the amine-free first or second composition may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions of the present invention. Preferably, the rinse solution includes deionized water. Thereafter, the device may be dried using nitrogen or a spin-dry cycle.
[0076] Yet another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.
[0077] Another aspect relates to a recycled first or second composition. The first or second composition may be reused until residue and/or contaminant loading reaches the maximum amount the respective composition may accommodate, as readily determined by one skilled in the art.
[0078] A still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with an first or second composition for sufficient time to clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, and incorporating said microelectronic device into said article.
Example 1
[0079] The efficacy of formulations A-I for removing BTA from a device surface as well as the copper etch rate and roughness was evaluated. Each formulation was diluted 30:1 with DI water. The device was a copper coupon that was pretreated with citric acid for 5 minutes to remove the native oxide. Thereafter, the coupon was immersed in a 1 wt% BTA solution for 5 minutes and rinsed with DI water. The wafer was immersed in each specific formulation at room temperature with 400 rpm agitation, followed by a deionized water rinse and a N2 dry. Metrology was performed using a pre and post grazing angle-FTIR.
[0080] The results of the experiments are provided in Table 1.
Table 1 : BTA removal efficiency, copper etch rate and copper surface roughness.
Figure imgf000020_0001
c 71.74 0.91±0.10 3.29±0.04
D 72.64 0.80±0.12 3.21±0.65
E 82.32 0.81±0.07 3.36±0.42
F 66.98 1.03±0.13 2.15±0.65
G 40.47 1.13±0.15 1.85±0.62
H 51.61 0.94±0.06 2.83±0.20
I 34.25 1.08±0.10 1.64±0.35
[0081] It can be seen that the formulations comprising citric acid, MSA and KOH efficiently removed the BTA and displayed a very low copper etch rate.
Example 2
[0082] Compositions having the following ranges were prepared and the efficiency of BTA removal as well as the copper etch rate and the copper roughness determined.
Formulation AA: 3.5 wt% KH2P04, 19.36 wt% N-(2-hydroxyethyl)-2-pyrrolidone, 4 wt% HEDP (60%), KOH to bring the pH to 10.5, balance water
Formulations BB: 0.2-0.9 wt% urea H202, 1-5 wt% KH2P04, 15-35 wt% N-(2-hydroxyethyl)-2- pyrrolidone, 2-15 wt% HEDP (60%), KOH to bring the pH to 10.5-11.5, balance water
Formulations CC: 0.2-0.9 wt% urea H202, 0.01-0.1 wt% NMMO (47%), 1-5 wt% KH2P04, 15-35 wt% N-(2-hydroxyethyl)-2-pyrrolidone, 2-15 wt% HEDP (60%), KOH to bring the pH to 10.5-11.5, balance water
Formulations DD: 0.2-0.9 wt% H202, 1-5 wt% KH2P04, 15-35 wt% N-(2-hydroxyethyl)-2- pyrrolidone, 2-15 wt% HEDP (60%), KOH to bring the pH to 10.5-11.5, balance water
Formulations EE: 0.2-0.9 wt% H202, 0.01-0.1 wt% NMMO (47%), 1-5 wt% KH2P04, 15-35 wt% N- (2-hydroxyethyl)-2-pyrrolidone, 2-15 wt% HEDP (60%), KOH to bring the pH to 10.5-11.5, balance water
Formulations FF: 0.2-0.9 wt% urea H202, 0.01-0.1 wt% NMMO (47%), 1-5 wt% KH2P04, 10-20 wt% sulfolane, 2-8 wt% 5-sulfosalicylic acid, KOH to bring the pH to 10.5-11.5, balance water
Formulations GG: 0.2-0.9 wt% urea H202, 1-5 wt% KH2P04, 10-20 wt% sulfolane, 2-8 wt% 5- sulfosalicylic acid, KOH to bring the pH to 10.5-11.5, balance water Formulations HH: 0.2-0.9 wt% urea H202, 1-5 wt% KH2P04, 10-20 wt% sulfolane, 2-8 wt% citric acid, KOH to bring the pH to 10.5-11.5, balance water
[0083] The efficiency of BTA removal for formulation AA was 7.14% while the copper etch rate was 0.89 A min 1 (s.d. 0.10) and the copper roughness was 0.81 nm (s.d. 0.03). In contrast, formulations satisfying the ranges disclosed in BB-HH had BTA removal efficiencies greater than 20%, in some cases greater than 30%, and a copper etch rate of less than 5 A min"1. With many of the formulations, the copper roughness decreased.
[0084] Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Claims

THE CLAIMS What is claimed is:
1. A composition for cleaning residue and contaminants from a surface, said composition comprising at least one oxidizing agent, at least one complexing agent, at least one basic compound, at least one buffering agent, and water, wherein the composition is substantially devoid of amines, quaternary bases, fluoride-containing sources, and abrasive material typically used in chemical mechanical polishing processes.
2. The composition of claim 1, wherein pH is in a range from 7 to about 12.
3. The composition of claims 1 or 2, wherein the at least one basic compound comprise a species selected from the group consisting of KOH, CsOH, ammonium hydroxide, and combinations thereof.
4. The composition of claims 1 or 2, wherein the at least one basic compound comprises KOH.
5. The composition of any of the preceding claims, wherein the at least one complexing agent comprises a species selected from the group consisting of lactic acid, maleic acid, ascorbic acid, malic acid, citric acid, benzoic acid, fumaric acid, succinic acid, oxalic acid, malonic acid, mandelic acid, maleic anhydride, phthalic acid, aspartic acid, glutamic acid, glutaric acid, glycolic acid, glyoxylic acid, phenylacetic acid, quinic acid, pyromellitic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, gluconic acid, glyceric acid, formic acid, acetic acid, propionic acid, acrylic acid, adipic acid, itaconic acid, glucuronic acid, glycine, lysine, β -alanine, histidine, phenylalanine, cysteine, leucine, serine, 8-hydroxyquinoline, 2,4-pentanedione, benzetetracarboxylic acid, pyruvic acid, tannic acid, sulfanilic acid, 2-hydroxyphosphonocarboxylic acid (HPAA), pyrocatecol, pyrogallol, gallic acid, tannic acid, ethylenediamine tetraacetic acid (EDTA), diethylenetriammepentaacetic acid (DTPA), (l,2-cyclohexylenedinitrilo)tetraacetic acid (CDTA), iminidiacetic acid, 2- phosphonobutane-l,2,4-tricarboxylic acid (PBTCA), phosphonic acid, hydroxyethylidene diphosphonic acid (HEDP), l-hydroxyethane-l,l -diphosphonic acid, nitrilo-tris(methylenephosphonic acid, salicylic acid, p-toluenesulfonic acid, sulfosalicylic acid and derivatives thereof, and any combination thereof.
6. The composition of any of the preceding claims, wherein the at least one complexing agent comprises citric acid, a phosphonic acid derivative, sulfosalicylic acid or derivatives thereof, and any combination thereof.
7. The composition of any of the preceding claims, wherein the at least one oxidizing agent comprises a species selected from the group consisting of ozone, nitric acid, bubbled air, cyclohexylaminosulfonic acid, hydrogen peroxide, FeCl3, oxone (2KHSO5 KHSO4 K2SO4), ammonium peroxomonosulfate, ammonium chlorite, ammonium chlorate, ammonium iodate, ammonium perborate, ammonium perchlorate, ammonium periodate, ammonium persulfate, ammonium hypochlorite, sodium perborate, sodium persulfate, sodium hypochlorite, potassium iodate, potassium permanganate, potassium persulfate, potassium persulfate, potassium hypochlorite, tetramethylammonium chlorite, tetramethylammonium chlorate, tetramethylammonium iodate, tetramethylammonium perborate, tetramethylammonium perchlorate, tetramethylammonium periodate, tetramethylammonium persulfate, tetrabutylammonium peroxomonosulfate, peroxomonosulfuric acid, ferric nitrate, N-methylmorpholine-N-oxide, trimethylamine-N-oxide, triethylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N-oxide, N-methylpyrrolidine-N-oxide, N-ethylpyrrolidine-N-oxide, urea hydrogen peroxide, peracetic acid, periodic acid, potassium dichromate, potassium chlorate, 2-nitrophenol, 1 ,4-benzoquinone, peroxybenzoic acid, peroxyphthalic acid salts, vanadium oxides, ammonium metavanadate, ammonium tungstate, sodium nitrate, potassium nitrate, ammonium nitrate, strontium nitrate, sulfuric acid, and combinations thereof.
8. The composition of any of the preceding claims, wherein the at least one oxidizing agent comprises a species selected from the group consisting of hydrogen peroxide, NMMO, urea hydrogen peroxide, and combinations thereof.
9. The composition of any of the preceding claims, wherein the at least one buffering agent comprises a species selected from the group consisting of dipotassium phosphate, potassium carbonate, boric acid, lysine, proline, β -alanine, ethylenediamine tetraacetic acid (EDTA), diethylenetriaminepentaacetic acid (DTP A), dimethyl glyoxime, dibasic phosphate (K2HPO4), tribasic phosphate (K3P04), mixtures of dibasic and tribasic phosphate, mixures of dibasic and tribasic carbonate, hydroxyethylidene diphosphonic acid, and combinations thereof.
10. The composition of any of the preceding claims, wherein the at least one buffering agent comprises a species selected from the group consisting of dibasic phosphate (K2HP04), tribasic phosphate (K3PO4), mixtures of dibasic and tribasic phosphate, HEDP, and combinations thereof.
11. The composition of any of the preceding claims, wherein the amount of at least one oxidizing agent is about 0.1 wt% to about 1 wt%, of at least one complexing agent is about 1 wt% to about 25 wt%, of at least one basic compound is about 0.01 wt% to about 5 wt%, of at least one buffering agent is about 0.1 wt% to about 5 wt%, and water is about 66.5 wt% to about 95 wt%, based on the total weight of the composition.
12. The composition of any of the preceding claims, further comprising at least one solvating agent comprising a species selected from the group consisting of 2-pyrrolidinone, l-(2-hydroxyethyl)- 2-pyrrolidinone, glycerol, 1 ,4-butanediol, tetramethylene sulfone (sulfolane), dimethyl sulfone, ethylene glycol, propylene glycol, dipropylene glycol, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether (TPGME), dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n- propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof.
13. The composition of any of the preceding claims, further comprising at least one solvating agent comprising sulfolane, 1 -(2-hydroxyethyl)-2-pyrrolidinone, and combinations thereof.
14. The composition of claims 12 or 13, wherein the amount of at least one solvating agent is about 5 wt% to about 20 wt%, based on the total weight of the composition.
15. The composition of any of the preceding claims, further comprising at least one surfactant selected from the group consisting of dodecylbenzenesulfonic acid (DDBSA), polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, block copolymers based on ethylene oxide and propylene oxide, polyoxyethylene (40) nonylphenylether (branched), dinonylphenyl polyoxyethylene, nonylphenol alkoxylates, polyethylene glycol sorbitan monooleate, sorbitan monooleate, ethoxylated fluorosurfactants, polyoxyethylene (16) tallow ethylmonium ethosulfate, ammonium polyacrylate, fluorosurfactants, polyacrylates, and combinations thereof.
16. The composition of claim 15, wherein the amount of the at least one surfactant is about 0.001 wt% to about 1 wt%, based on the total weight of the composition.
17. The composition of any of the preceding claims, wherein the composition comprises urea hydrogen peroxide, KOH, KH2P04, HEDP, at least one solvating agent, and water, and the pH is in a range from about 7 to about 12.
18. The composition of any of the preceding claims, wherein the composition comprises urea hydrogen peroxide, KOH, KH2PO4, 5-sulfosalicylic acid, at least one solvating agent, and water, and the pH is in a range from about 7 to about 12.
19. The composition of any of the preceding claims, wherein the residue and contaminants comprise post-CMP residue and contaminants selected from the group consisting of particles from a CMP polishing slurry, chemicals present in the CMP polishing slurry, reaction by-products of the CMP polishing slurry, carbon-rich particles, polishing pad particles, copper, and copper oxides.
20. The composition of any of the preceding claims, further comprising post-CMP residue and contaminants.
21. A method of cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon, said method comprising contacting the microelectronic device with the composition of any of claims 1 -20 for sufficient time to at least partially clean said residue and contaminants from the microelectronic device.
22. The method of claim 21, wherein said residue and contaminants comprise post-CMP residue and contaminants.
23. The method of claims 21 or 22, wherein said contacting comprises a condition selected from the group consisting of: time of from about 15 seconds to about 5 minutes; temperature in a range of from about 20°C to about 50°C; and combinations thereof.
PCT/US2013/026326 2012-02-15 2013-02-15 Post-cmp removal using compositions and method of use WO2013123317A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
CN201380018815.6A CN104508072A (en) 2012-02-15 2013-02-15 Post-CMP removal using compositions and method of use
SG11201404930SA SG11201404930SA (en) 2012-02-15 2013-02-15 Post-cmp removal using compositions and method of use
JP2014557813A JP2015512971A (en) 2012-02-15 2013-02-15 Post-CMP removal using composition and method of use
KR1020147025340A KR102105381B1 (en) 2012-02-15 2013-02-15 Post-cmp removal using compositions and method of use
EP13749640.2A EP2814895A4 (en) 2012-02-15 2013-02-15 Post-cmp removal using compositions and method of use
US14/378,842 US10176979B2 (en) 2012-02-15 2013-02-15 Post-CMP removal using compositions and method of use

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201261599162P 2012-02-15 2012-02-15
US61/599,162 2012-02-15
US201261651287P 2012-05-24 2012-05-24
US61/651,287 2012-05-24
US201261656992P 2012-06-07 2012-06-07
US61/656,992 2012-06-07
US201261661160P 2012-06-18 2012-06-18
US61/661,160 2012-06-18

Publications (1)

Publication Number Publication Date
WO2013123317A1 true WO2013123317A1 (en) 2013-08-22

Family

ID=48984743

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/026326 WO2013123317A1 (en) 2012-02-15 2013-02-15 Post-cmp removal using compositions and method of use

Country Status (8)

Country Link
US (1) US10176979B2 (en)
EP (1) EP2814895A4 (en)
JP (1) JP2015512971A (en)
KR (1) KR102105381B1 (en)
CN (1) CN104508072A (en)
SG (1) SG11201404930SA (en)
TW (1) TWI600756B (en)
WO (1) WO2013123317A1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103556164A (en) * 2013-10-28 2014-02-05 沈阳大学 Desizing method of titanium aluminum chromium nitride hard reaction film
JP2014088526A (en) * 2012-10-31 2014-05-15 Neos Co Ltd Silica scale remover composition
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US20150344822A1 (en) * 2014-06-02 2015-12-03 Tetra Tech, Inc. Decontaminant and Process for Decontamination of Chemicals from Infrastructural Materials
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
CN105802763A (en) * 2016-04-13 2016-07-27 乌鲁木齐市疾病预防控制中心 Mercury contamination cleaner for sampling pipeline of spectral analysis instrument
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
WO2017211653A1 (en) 2016-06-10 2017-12-14 Basf Se Composition for post chemical-mechanical-polishing cleaning
US20180016469A1 (en) * 2016-07-14 2018-01-18 Cabot Microelectronics Corporation Alternative oxidizing agents for cobalt cmp
WO2018195576A1 (en) * 2017-04-29 2018-11-01 Thonhauser Gmbh Method for cleaning surfaces
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10711160B2 (en) 2017-06-12 2020-07-14 Samsung Electronics Co., Ltd. Slurry compositions for polishing a metal layer and methods for fabricating semiconductor devices using the same
US10844333B2 (en) 2015-12-22 2020-11-24 Basf Se Composition for post chemical-mechanical-polishing cleaning
US10844325B2 (en) 2015-12-22 2020-11-24 Basf Se Composition for post chemical-mechanical-polishing cleaning
WO2021005140A1 (en) * 2019-07-11 2021-01-14 Merck Patent Gmbh Photoresist remover compositions

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9365934B2 (en) * 2013-04-12 2016-06-14 Mitsubishi Gas Chemical Company, Inc. Liquid composition used in etching copper- and titanium-containing multilayer film, etching method in which said composition is used, method for manufacturing multilayer-film wiring, and substrate
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442374A (en) 2013-07-31 2021-03-05 恩特格里斯公司 Aqueous formulations with Cu/W compatibility for removal of metal hardmask and post-etch residues
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
KR102352475B1 (en) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116679A1 (en) * 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11978622B2 (en) * 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
CN107075411A (en) * 2014-09-18 2017-08-18 应用材料公司 The method and apparatus cleaned using CMP after the high efficiency of the viscous fluid through design
CN116288366A (en) * 2014-10-21 2023-06-23 Cmc材料股份有限公司 Corrosion inhibitors and related compositions and methods
JP6454928B2 (en) * 2015-03-11 2019-01-23 上村工業株式会社 Treatment agent for electroless plating, and printed wiring board and package manufacturing method using the same
WO2017086758A1 (en) * 2015-11-19 2017-05-26 오씨아이 주식회사 Composition for etching copper, and hydrogen peroxide-based composition for etching metal
US10418248B2 (en) * 2016-02-16 2019-09-17 Cabot Microelectronics Corporation Method of polishing group III-V materials
WO2017156304A1 (en) * 2016-03-09 2017-09-14 Entegris, Inc. Tungsten post-cmp cleaning compositions
US9685406B1 (en) 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal
CA3034712C (en) * 2016-08-24 2021-10-12 Ppg Industries Ohio, Inc. Alkaline composition for treating metal substartes
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
JP2020513440A (en) 2016-11-25 2020-05-14 インテグリス・インコーポレーテッド Cleaning composition for removing residues after etching
JP7122258B2 (en) * 2017-01-17 2022-08-19 株式会社ダイセル Semiconductor substrate cleaner
EP3589778A4 (en) * 2017-02-28 2020-12-09 Ecolab USA Inc. Alkaline cleaning composition comprising a hydroxyphosphono carboxylic acid and methods of reducing metal corrosion
EP3604475A4 (en) * 2017-03-23 2020-12-23 Fujimi Incorporated Polishing composition
CN107338126A (en) * 2017-06-23 2017-11-10 昆山欣谷微电子材料有限公司 A kind of water base microelectronics is peeled off and cleaning liquid composition
JP6498734B2 (en) * 2017-08-24 2019-04-10 攝津製油株式会社 Cleaning composition, cleaning agent, and cleaning method
US11175587B2 (en) * 2017-09-29 2021-11-16 Versum Materials Us, Llc Stripper solutions and methods of using stripper solutions
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
EP3743773B1 (en) * 2018-01-25 2022-04-06 Merck Patent GmbH Photoresist remover compositions
US11085011B2 (en) 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
CN109179965B (en) * 2018-11-01 2020-11-17 中国农业大学 Compound conditioner for efficient sludge dewatering and sludge dewatering method
KR20200077912A (en) * 2018-12-21 2020-07-01 주식회사 케이씨텍 Cleaning composition and cleaning method using the same
TW202037758A (en) * 2019-01-15 2020-10-16 德商德國艾托特克公司 Method of forming copper oxide on a copper surface
JP7262596B2 (en) * 2019-02-08 2023-04-21 インテグリス・インコーポレーテッド Ceria removal composition
US11312922B2 (en) 2019-04-12 2022-04-26 Ecolab Usa Inc. Antimicrobial multi-purpose cleaner comprising a sulfonic acid-containing surfactant and methods of making and using the same
JP2020188090A (en) * 2019-05-13 2020-11-19 Jsr株式会社 Composition for semiconductor cleaning or chemical mechanical polishing for processing cobalt-containing substrate
CN111269761B (en) * 2020-02-13 2021-04-27 金丝甲(上海)安全防范技术有限公司 Decontamination liquid and application thereof in decontamination of actinide nuclide and transition metal nuclide pollution
KR20220012521A (en) * 2020-07-23 2022-02-04 주식회사 케이씨텍 Cleaning solution composition and cleaning method using the same
TWI824299B (en) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 Etchant compositions
KR102284465B1 (en) * 2020-09-24 2021-08-02 양영수 Method for producing stainless container
WO2022212865A1 (en) 2021-04-01 2022-10-06 Sterilex, Llc Quat-free powdered disinfectant/sanitizer
CN114854500A (en) * 2022-05-12 2022-08-05 常州时创能源股份有限公司 Additive and cleaning solution for cleaning silicon wafer and cleaning method for silicon wafer after texturing
KR20240041391A (en) * 2022-09-22 2024-04-01 한양대학교 산학협력단 Cleaning composition and method of cleaning substrate using the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US20050239673A1 (en) * 2002-06-07 2005-10-27 Hsu Chien-Pin S Microelectronic cleaning compositions containing oxidizers and organic solvents
US20100261632A1 (en) * 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20110151671A1 (en) * 2009-12-17 2011-06-23 Rohm And Haas Electronic Materials Llc method of texturing semiconductor substrates
US20120028870A1 (en) * 2009-02-27 2012-02-02 Advanced Technology Materials, Inc. Non-amine post-cmp composition and method of use

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
WO1998021415A1 (en) 1996-11-12 1998-05-22 H.B. Zachry Company Precast, modular spar system
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
CA2332390A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6927176B2 (en) * 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6361407B1 (en) * 2000-08-02 2002-03-26 Memc Electronic Materials, Inc. Method of polishing a semiconductor wafer
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US20030119692A1 (en) 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TWI258504B (en) * 2003-01-07 2006-07-21 Tosoh Corp Washing solution and washing method using the same
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7056648B2 (en) 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
BRPI0416067A (en) * 2003-10-29 2007-01-02 Mallinckrodt Baker Inc alkaline ash / post-plasma etching removers and photoresist stripping compositions containing metal halide corrosion inhibitors
JP2007519942A (en) 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Chemicals and methods for stripping resist, BARC, and gap fill materials
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7253111B2 (en) 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
WO2006009668A1 (en) * 2004-06-16 2006-01-26 Memc Electronic Materials, Inc. Silicon wafer etching process and composition
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2006086265A2 (en) * 2005-02-07 2006-08-17 Applied Materials, Inc. Method and composition for polishing a substrate
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN101233456B (en) 2005-06-07 2013-01-02 高级技术材料公司 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
CN101233601A (en) 2005-06-13 2008-07-30 高级技术材料公司 Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
EP1932174A4 (en) * 2005-10-05 2009-09-23 Advanced Tech Materials Oxidizing aqueous cleaner for the removal of post-etch residues
KR20080059429A (en) 2005-10-05 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Composition and method for selectively etching gate spacer oxide material
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials Metals compatible photoresist and/or sacrificial antireflective coating removal composition
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008039730A1 (en) 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
JP5237300B2 (en) 2006-12-21 2013-07-17 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Liquid cleaning agent to remove residues after etching
TWI516573B (en) 2007-02-06 2016-01-11 安堤格里斯公司 Composition and process for the selective removal of tisin
JP5146445B2 (en) 2007-03-16 2013-02-20 三菱瓦斯化学株式会社 Cleaning composition and method for manufacturing semiconductor device
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7976723B2 (en) 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
US20110039747A1 (en) 2007-08-20 2011-02-17 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist
JP5286290B2 (en) * 2008-02-15 2013-09-11 ライオン株式会社 Cleaning composition, electronic device substrate cleaning method, and electronic device substrate
WO2009111719A2 (en) 2008-03-07 2009-09-11 Advanced Technology Materials, Inc. Non-selective oxide etch wet clean composition and method of use
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
CN102216854A (en) 2008-08-04 2011-10-12 高级技术材料公司 Environmentally friendly polymer stripping compositions
US8252119B2 (en) * 2008-08-20 2012-08-28 Micron Technology, Inc. Microelectronic substrate cleaning systems with polyelectrolyte and associated methods
JP2012504871A (en) 2008-10-02 2012-02-23 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Use of surfactant / antifoam mixtures for high metal loading and surface passivation of silicon substrates
WO2010048139A2 (en) 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG173172A1 (en) 2009-01-28 2011-08-29 Advanced Tech Materials Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
CN104804903B (en) 2010-01-29 2018-10-30 恩特格里斯公司 Semiconductor cleaning agent with metal line
TWI548738B (en) 2010-07-16 2016-09-11 安堤格里斯公司 Aqueous cleaner for the removal of post-etch residues
JP2012036750A (en) 2010-08-04 2012-02-23 Panasonic Corp Compressor
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
KR20130100297A (en) 2010-08-27 2013-09-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Method for preventing the collapse of high aspect ratio structures during drying
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
KR101891363B1 (en) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. Composition for and method of suppressing titanium nitride corrosion
KR20140008995A (en) 2010-11-19 2014-01-22 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Liquid composition for cleaning semiconductor substrate and method for cleaning semiconductor substrate using same
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP2012186470A (en) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd Cleaner for copper wiring semiconductor
JP2012251026A (en) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd Cleaning agent for semiconductor
TW201311869A (en) 2011-06-16 2013-03-16 Advanced Tech Materials Compositions and methods for selectively etching silicon nitride
US9257270B2 (en) 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
JP6066552B2 (en) 2011-12-06 2017-01-25 関東化學株式会社 Cleaning composition for electronic devices
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG11201405638UA (en) 2012-03-12 2014-10-30 Entegris Inc Methods for the selective removal of ashed spin-on glass
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US20050239673A1 (en) * 2002-06-07 2005-10-27 Hsu Chien-Pin S Microelectronic cleaning compositions containing oxidizers and organic solvents
US20100261632A1 (en) * 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20120028870A1 (en) * 2009-02-27 2012-02-02 Advanced Technology Materials, Inc. Non-amine post-cmp composition and method of use
US20110151671A1 (en) * 2009-12-17 2011-06-23 Rohm And Haas Electronic Materials Llc method of texturing semiconductor substrates

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP2814895A4 *

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014088526A (en) * 2012-10-31 2014-05-15 Neos Co Ltd Silica scale remover composition
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN103556164A (en) * 2013-10-28 2014-02-05 沈阳大学 Desizing method of titanium aluminum chromium nitride hard reaction film
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US20150344822A1 (en) * 2014-06-02 2015-12-03 Tetra Tech, Inc. Decontaminant and Process for Decontamination of Chemicals from Infrastructural Materials
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
US10844325B2 (en) 2015-12-22 2020-11-24 Basf Se Composition for post chemical-mechanical-polishing cleaning
US10844333B2 (en) 2015-12-22 2020-11-24 Basf Se Composition for post chemical-mechanical-polishing cleaning
CN105802763A (en) * 2016-04-13 2016-07-27 乌鲁木齐市疾病预防控制中心 Mercury contamination cleaner for sampling pipeline of spectral analysis instrument
CN105802763B (en) * 2016-04-13 2018-08-03 乌鲁木齐市疾病预防控制中心 A kind of spectrometric instrument sample introduction pipeline mercury pollution cleaning agent
US10865361B2 (en) 2016-06-10 2020-12-15 Basf Se Composition for post chemical-mechanical-polishing cleaning
WO2017211653A1 (en) 2016-06-10 2017-12-14 Basf Se Composition for post chemical-mechanical-polishing cleaning
CN109415599A (en) * 2016-07-14 2019-03-01 嘉柏微电子材料股份公司 Alternative oxidant for cobalt chemically mechanical polishing
EP3484971A4 (en) * 2016-07-14 2020-02-26 Cabot Microelectronics Corporation Alternative oxidizing agents for cobalt cmp
US20180016469A1 (en) * 2016-07-14 2018-01-18 Cabot Microelectronics Corporation Alternative oxidizing agents for cobalt cmp
US11851584B2 (en) 2016-07-14 2023-12-26 Cmc Materials, Inc. Alternative oxidizing agents for cobalt CMP
AT519894A1 (en) * 2017-04-29 2018-11-15 Thonhauser Gmbh CLEANING PROCESS
WO2018195576A1 (en) * 2017-04-29 2018-11-01 Thonhauser Gmbh Method for cleaning surfaces
US10711160B2 (en) 2017-06-12 2020-07-14 Samsung Electronics Co., Ltd. Slurry compositions for polishing a metal layer and methods for fabricating semiconductor devices using the same
WO2021005140A1 (en) * 2019-07-11 2021-01-14 Merck Patent Gmbh Photoresist remover compositions
TWI824164B (en) * 2019-07-11 2023-12-01 德商馬克專利公司 Photoresist remover compositions and processes for removing photoresist films from substrates
US11994803B2 (en) 2019-07-11 2024-05-28 Merck Patent Gmbh Photoresist remover compositions

Also Published As

Publication number Publication date
SG11201404930SA (en) 2014-09-26
KR102105381B1 (en) 2020-04-29
TWI600756B (en) 2017-10-01
CN104508072A (en) 2015-04-08
EP2814895A4 (en) 2015-10-07
US10176979B2 (en) 2019-01-08
US20160020087A1 (en) 2016-01-21
EP2814895A1 (en) 2014-12-24
TW201343905A (en) 2013-11-01
KR20140139498A (en) 2014-12-05
JP2015512971A (en) 2015-04-30

Similar Documents

Publication Publication Date Title
US10176979B2 (en) Post-CMP removal using compositions and method of use
US11127587B2 (en) Non-amine post-CMP compositions and method of use
TWI573867B (en) Stripping compositions having high wn/w etching selectivity
KR101912400B1 (en) TiN HARD MASK AND ETCH RESIDUE REMOVAL
US10731109B2 (en) Post chemical mechanical polishing formulations and method of use
TWI507521B (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8754021B2 (en) Non-amine post-CMP composition and method of use
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2003065433A1 (en) Liquid detergent for semiconductor device substrate and method of cleaning
WO2005076332A1 (en) Substrate cleaning liquid for semiconductor device and cleaning method
TW202010872A (en) Cleaning composition with corrosion inhibitor
KR20140082816A (en) Non-amine post-cmp composition and method of use
TWI743026B (en) Non-amine post-cmp compositions and method of use
JP2010087258A (en) Cleaning agent for semiconductor substrate surface, method of cleaning semiconductor device using the same
WO2023096862A1 (en) Microelectronic device cleaning composition
JP2010050377A (en) Cleaning agent for semiconductor substrate surfaces, and method of cleaning semiconductor device using the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13749640

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 14378842

Country of ref document: US

ENP Entry into the national phase

Ref document number: 2014557813

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

REEP Request for entry into the european phase

Ref document number: 2013749640

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2013749640

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20147025340

Country of ref document: KR

Kind code of ref document: A