WO2013059973A1 - 一种mos器件及其制造方法 - Google Patents

一种mos器件及其制造方法 Download PDF

Info

Publication number
WO2013059973A1
WO2013059973A1 PCT/CN2011/001982 CN2011001982W WO2013059973A1 WO 2013059973 A1 WO2013059973 A1 WO 2013059973A1 CN 2011001982 W CN2011001982 W CN 2011001982W WO 2013059973 A1 WO2013059973 A1 WO 2013059973A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
work function
compound
mos device
metal
Prior art date
Application number
PCT/CN2011/001982
Other languages
English (en)
French (fr)
Inventor
殷华湘
徐秋霞
陈大鹏
Original Assignee
中国科学院微电子研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 中国科学院微电子研究所 filed Critical 中国科学院微电子研究所
Priority to US13/513,198 priority Critical patent/US20130105907A1/en
Publication of WO2013059973A1 publication Critical patent/WO2013059973A1/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Definitions

  • the present invention relates to the field of semiconductors, and in particular to a MOS device and a method of fabricating the same. Background technique
  • strain Channel Engineering has become more and more important to improve the channel carrier mobility.
  • a variety of strain techniques are integrated into the device process to increase device drive capability.
  • One such method is to create a "global stress" that is typically produced using structures such as strained SiGe substrates, strained silicon substrates grown on SiGe relaxation buffer layers, or strained silicon on insulators.
  • Another method is to generate "local stress", which is usually induced by a uniaxial process, such as the following structure: a shallow trench isolation structure that generates stress, a (double) stress liner, and a source/drain embedded in the PMOS.
  • SiGe (e-SiGe) structure in the (S/D) region the SiC (e-SiC) structure embedded in the source/drain (S/D) region of the NMOS, and the like.
  • these conventional stress techniques are eroded as the feature size of the device shrinks, making the device's drive capability less than a predetermined target.
  • the strained metal gate project provides a new source of stress on the channel, which can improve the adverse effects of conventional stressors such as source/drain heteroepitaxial layers, strain-lined insulating layers, and the like as the device size shrinks.
  • a conventional strained metal gate material 105 e.g., TiN, TaN, etc.
  • a gate insulating material 1 10 e.g., silicon oxide, high-k dielectric, etc.
  • the primary goal is to adjust the work function of the metal gate, taking into account the strain effect of the intrinsic strain of the gate material on the channel under the gate insulating material.
  • the same material is limited in its optimal effect in the face of multiple different functional requirements.
  • a first aspect of the present invention provides a MOS device including a semiconductor substrate; a channel formed in the semiconductor substrate; a gate stack formed on the trench; and a spacer surrounding the gate stack; and forming a source/drain in a substrate on both sides of the sidewall; wherein the gate stack is composed of an insulating layer and a plurality of metal gates thereon, the multilayer metal gate being used to introduce stress into the channel A strained metal layer, a work function adjusting layer for adjusting a work function of the metal gate, the work function adjusting layer surrounding the strained metal layer from the bottom and the side.
  • a second aspect of the present invention provides a method of fabricating a MOS device, comprising the steps of: providing an initial structure, the initial structure comprising a semiconductor substrate, a channel formed in the semiconductor substrate, and a gate insulating formed over the channel a gate stack of the sacrificial gate on the gate insulating layer, a sidewall surrounding the gate stack, and source/drain formed in the substrate on both sides of the sidewall spacer; removing the sacrificial gate; opening formed after removing the sacrificial gate Forming a work function adjusting layer for adjusting a work function of the multilayer metal gate to be formed; and forming a strained metal layer for introducing stress into the channel, the work function adjusting layer surrounding the side and the bottom A strained metal layer, the strained metal layer and a work function adjusting layer constitute the multilayer metal gate.
  • a third aspect of the invention provides a MOS device including a semiconductor substrate; a channel formed in the semiconductor substrate; a gate stack formed on the trench; and sidewall spacers surrounding the gate stack; and formed on both sides of the sidewall spacer Source/drain in the bottom of the village; wherein the gate stack is composed of a gate insulating layer and a plurality of metal gates thereon, the multilayer metal gate being a work function adjusting layer for adjusting a work function of the metal gate and A strained metal layer formed on the top thereof for introducing stress into the channel is formed.
  • a fourth aspect of the invention provides a method of fabricating a MOS device, comprising the steps of: providing a semiconductor substrate; forming a channel in the semiconductor substrate; sequentially forming a gate insulating layer on the semiconductor substrate for adjusting a work function a work function adjusting layer and a strained metal layer for introducing stress into the channel; patterning a portion of the gate insulating layer, the work function adjusting layer, and the strained metal layer to form a gate stack, wherein the gate stack is retained Forming a gate insulating layer, a work function adjusting layer, and a strained metal layer; forming sidewall spacers on both sides of the gate stack; and forming source/drain electrodes in the substrate on both sides of the sidewall spacer.
  • the work function adjustment layer in the multi-layer metal gate structure optimizes the corresponding work function (closer to the valence band top or the conduction band bottom) by optimizing materials, compositions, processes, and processing methods.
  • the device threshold can be adjusted to an optimum; the strained metal layer optimizes the material intrinsic stress (compressive stress and tensile stress) by optimizing materials, compositions, processes, and processing methods, thereby applying more efficient strain effects to the device. Channel.
  • Such a structure overcomes the drawback that conventional strained metal gate materials cannot simultaneously satisfy work function adjustment and applied strain effects optimization.
  • FIG. 1 is a cross-sectional view of a MOS device having a conventional strained metal gate
  • FIGS. 2 to 6 are cross-sectional views showing the structure of the device corresponding to the respective steps in the first embodiment; and Figs. 7 to 12 are cross-sectional views showing the structure of the device corresponding to the respective steps in the second embodiment.
  • This embodiment is directed to a MOS device fabricated by a back gate process.
  • the initial structure 20 includes a semiconductor substrate 200, a trench 205 formed in the semiconductor substrate, a gate stack formed over the trench 205 (including the gate insulating layer 210 and the sacrificial gate 215), surrounding the sidewall spacer 220 of the gate stack, Source/drain 225 formed in the substrate on both sides of the sidewall spacer and source drain extension region 230 under the sidewall spacer, followed by metal contact regions formed on source/drain 225 (including silicide contacts (not shown) And) an interlayer dielectric layer 235 that is covered to isolate the device.
  • the MOS devices may be separated from one another by isolation regions such as trench isolation (STI) or field isolation regions, and the isolation region material may be a stressed material or a stress free material.
  • STI trench isolation
  • the material forming the gate insulating layer 210 is, for example, various insulating dielectric materials and composite multilayer structures thereof.
  • the dielectric material includes, but is not limited to, Hf0 2 , HfSiO x , HfSiON, HfA10 x , HfTaO x , HfLaOx , HfAlSiO x , HfLaSiO x , etc.; rare earth-based high-k dielectric materials Zr0 2 , La 2 0 3 , LaA10 3 , Ti0 2 , Y 2 0 3 , etc.; and Si0 2 , SiON, Si 3 N 4 , A1 2 0 3 and so on.
  • the gate insulating layer may be formed by a deposition process such as chemical vapor deposition (CVD), plasma assisted CVD, 'atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition, or the like, the gate
  • CVD chemical vapor deposition
  • ALD 'atomic layer deposition
  • evaporation reactive sputtering
  • chemical solution deposition chemical solution deposition, or the like
  • the insulating layer can also be formed using a combination of any of the above processes.
  • the sacrificial gate 215 is made, for example, of polysilicon or other materials known in the art.
  • a conventional stress structure may be embedded in the S/D regions on both sides of the gate stack.
  • NMOS devices for example, a SiC (e-SiC) structure embedded in the S/D region or a structure that can be tensile stress applied to the channel by any future technology.
  • PMOS devices for example, a SiGe (e-SiGe) structure embedded in an S/D region or a structure that can be formed by any future technique to provide compressive stress to the channel.
  • a stress liner (not shown) may be formed on top of the formed device structure prior to forming the interlayer dielectric layer 235, and an interlayer dielectric layer 235 may be formed after the formation of the interlayer dielectric layer 235.
  • the surface is flattened until the surface of the sacrificial gate 215 is exposed.
  • the liner can apply a corresponding stress to the channel region under the gate stack.
  • the stress liner can be lined with nitride or oxide. However, those skilled in the art will appreciate that the stress liner is not limited to nitride or oxide liners, and other stress liner materials may be used.
  • Methods of forming a stress village include, but are not limited to, a plasma enhanced chemical vapor deposition (PECVD) process.
  • PECVD plasma enhanced chemical vapor deposition
  • the gate insulating layer 210 under the sacrificial gate can remain intact or substantially intact.
  • the new material of the gate insulating layer for example, various insulating materials and composite multilayer dielectric structure, a dielectric material, including but not limited to, Hf0 2, HfSiO x, HfSiON, HfA10 x, HfTaO x, HfLaO x, HfAlSiO x, HfLaSiO x, etc.; rare earth-based high-k dielectric materials Zr0 2 , La 2 0 3 , LaA10 3 , Ti0 2 , Y 2 0 3 , etc.; and Si0 2 , SiON, S13N4, A1 2 0 3 and the like.
  • a dielectric material including but not limited to, Hf0 2, HfSiO x, HfSiON, HfA10 x, HfTaO x, HfLaO x, HfAlSiO x, HfLaSiO x, etc.
  • rare earth-based high-k dielectric materials
  • the success function adjustment layer 240 is formed in the opening formed after the sacrificial gate is removed.
  • the work function adjusting layer 240 is formed on the side walls and the bottom of the opening as shown in FIG.
  • the work function adjustment layer is used to adjust the work function of the metal grid.
  • the material of the work function adjustment layer is selected from the group consisting of: (1) by chemical vapor deposition (CVD), plasma assisted CVD (PECVD), M xl N yl , M x2 Si y2 N zl , M x3 Al y3 N z2 or M a Al x3 Si y3 N z2 deposited by deposition processes such as atomic layer deposition (ALD), sputtering (Sputter) or the like; (2) The compounds M xl N yl , M x2 Si y2 N zl , M x3 Al y3 N z2 or M a Al x3 Si y3 N z2 and the metals Co, Ni, Cu, Al, Pd, Pt sequentially deposited by the above method.
  • CVD chemical vapor deposition
  • PECVD plasma assisted CVD
  • M xl N yl M x2 Si y2 N zl
  • M xl N yl deposited by the above method M x2 Si y2 N zl , M x3 Al y3 N z2 or M a Al x3 Si y3 N z2 , which is also doped with metals Co, Ni, Cu, Al, Pd, Pt, Ru, Re, Mo, Ta, Ti, Hf, Zr, W, Ir, Eu, Nd, Er or La.
  • M represents Ta, Ti, Hf, Zr, Mo or W; a, xl-x3, yl-y3 and zl-z2 are the number of atoms of the element in the compound, once M is specific, then a , xl -x3 , yl-y3 and zl -z2 are also determined.
  • NMOS it is necessary to select the appropriate M element, the appropriate incorporation of metal elements, and adjust a, xl -x3, yl-y3 and The value of zl -z2 and the deposition process make the material's work function close to the conduction band bottom; for PMOS, it is necessary to select the appropriate M element, properly incorporate the metal element, and adjust a, xl-x3, yl-y3 and The value of zl-z2 and the deposition process make the work function of the material close to the valence band top. How to select the corresponding process parameters and materials for NMOS or PMOS so that the work function of the material is close to the conduction band bottom or the valence band top is the technology in the field Well known to the person, no longer repeat them here.
  • a strained metal layer 250 is formed on the sidewalls and the bottom of the work function adjusting layer 240, that is, the work function adjusting layer 240 surrounds the strained metal layer 250 from the bottom and sides, as shown in FIG.
  • the strained metal layer will introduce stress into the channel.
  • the material of the strained metal layer 250 is selected from the group consisting of: (1) M xl N yl , M x2 Si deposited by CVD, PECVD, ALD or sputtering with high stress (tension stress > 3 Gpa or compressive stress ⁇ -30 &) Y2 N zl , M x3 Al y3 N z2 or M a Al x3 Si y3 N z2 ; ( 2 ) Pure metal deposited with a similar method as described above (tension stress > 30 ⁇ or compressive stress ⁇ -3 ⁇ &&) Co, Ni, Cu, Al, Pd, Pt, Ru, Re, Mo, Ta, Ti, Hf, Zr, W, Ir, Eu, Nd, Er or La; (3) High stress deposited by the similar method described above ( M xl N y i, M x2 Si y2 N zl , M x3 Al y3 N z2 or M a Al x3 Si y3
  • M represents one of Ta, Ti, Hf, Zr, Mo or W; a, xl-x3, yl-y3 and zl -z2 are the number of atoms of the element in the compound, once M is specific, then a, xl-x3, yl-y3 and zl-z2 are also determined. It should be noted here that for NMOS, it is necessary to select a suitable metal material to composition ratio, deposition process and post-processing method so that the intrinsic stress of the material is compressive stress, and is greater than 3 GPa; corresponding PMOS needs to select a suitable metal material.
  • compositional ratio and the deposition process and the post-treatment method make the intrinsic stress of the material a tensile stress and greater than 3 GPa. How to select corresponding process parameters and materials for NMOS or PMOS such that their intrinsic stress is greater than 3 Gpa is easily achieved by a person skilled in the art through a limited number of experiments, and will not be described herein.
  • a barrier layer 245 may also be formed between the work function adjusting layer 240 and the strained metal layer 250, as shown in FIG.
  • the barrier layer can suppress mutual diffusion of different elements between the work function adjusting layer and the strained metal layer, improve the work function stability of the surface metal material, and improve the adhesion of the strained metal layer to the gate structure.
  • the material of the barrier layer can be selected from the group consisting of: M xl N yl , M x2 Si y2 N zl , M x3 Al y3 N z2 or M a Al x3 Si y3 N deposited by CVD, PECVD, ALD or sputtering. Z2 .
  • M represents Ta, Ti, Hf, Zr, Mo or W; a, xl -x3, yl -y3 and zl-z2 are the number of atoms of the element in the compound, once M is specific, then a, Xl -x3 , yl -y3 and zl -z2 are also true.
  • the work function adjusting layer 240, the strained metal layer 250, and preferably further including the barrier layer 245, constitute a multilayer metal gate structure.
  • the multilayer metal gate and the gate insulating layer form a new gate stack.
  • the work function adjusting layer 240 in the multi-layer metal gate structure optimizes the corresponding work function by optimizing materials, compositions, processes, and processing methods (closer to the valence band top or the bottom of the conduction band), thereby adjusting the device threshold to the most
  • the strained metal layer 250 optimizes the material, composition, process, and processing method to optimize the intrinsic stress of the material (compressive stress and tensile stress), thereby applying a more efficient strain effect to the device channel; 245 Improve stability and material compatibility.
  • Such a structure overcomes the drawback that the conventional strained metal gate material 105 cannot simultaneously satisfy the work function adjustment and the applied strain effect optimization.
  • a metal contact 260 is formed to form a MOS device as shown in FIG.
  • the details of these steps can be understood by those skilled in the art in light of other disclosures and patents in order not to obscure the nature of the invention.
  • This embodiment is directed to a MOS device fabricated by a front gate process.
  • the initial structure 30 includes a semiconductor substrate 300 in which a channel 305 is formed.
  • the MOS devices may also be separated from one another by isolation regions such as trench isolation (STI) or field isolation regions, and the isolation region material may be a stressed material or a stress free material.
  • STI trench isolation
  • a gate insulating layer 310 is formed over the semiconductor substrate 300 as shown in FIG.
  • the gate insulating layer of dielectric material such as various insulating materials and composite multilayer structure, the dielectric materials include, but are not limited to Hf0 2, HfSiO x, HfSiON, HfA10 x, HfTaO x, HfLaO x, HfAlSiO x, HfLaSiO x, etc.; rare earth-based high-k dielectric materials Zr0 2 , La 2 0 3 , LaA10 3 , Ti0 2 , Y 2 0 3 , etc.; and Si0 2 , SiON, Si 3 N 4 , A1 2 0 3 and the like.
  • the gate insulating material may be formed by a deposition process such as chemical vapor deposition (CVD), plasma assisted CVD, atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition, or the like, the gate insulating
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • evaporation reactive sputtering
  • chemical solution deposition chemical solution deposition
  • a work function adjusting layer 340 is deposited on the gate insulating layer 310 as shown in FIG.
  • the work function adjustment layer is used to adjust the work function of the metal grid.
  • the material of the work function adjustment layer is selected from the group consisting of: (1) deposition processes such as chemical vapor deposition (CVD), plasma assisted CVD (PECVD), atomic layer deposition (ALD), sputtering (Sputter), or the like.
  • M represents Ta, Ti, Hf, Zr, Mo or W; a, xl-x3, yl-y3 and zl-z2 are the number of atoms of the element in the compound, once M is specific, then a, Xl-x3, yl-y3 and zl-z2 are also determined. It should be noted here that for NMOS, it is necessary to select the appropriate M element, suitable incorporation of metal elements, and adjust the values of a, xl-x3, yl-y3 and zl-z2 and the deposition process to make the material work.
  • the function is close to the bottom of the conduction band; for PMOS, it is necessary to select the appropriate M element, the appropriate doping of the metal element, and adjust the values of a, xl-x3, yl-y3 and zl-z2 and the deposition process to make the work of the material
  • the function is close to the top of the price. How is it The selection of the corresponding process parameters and materials for the NMOS or PMOS such that the work function of the material is close to the conduction band bottom or the valence band top is well known to those skilled in the art and will not be described herein.
  • a strained metal layer 350 is formed on top of the work function adjusting layer 340 as shown in FIG.
  • the strained metal layer will introduce stress into the channel.
  • the material of the strained metal layer 350 is selected from the group consisting of: (1) M xl N y i , M x2 Si deposited by CVD, PECVD, ALD or sputtering with high stress (tension stress > 3 Gpa or compressive stress ⁇ -3 Gpa) Y2 N zl , M x3 Al y3 N z2 or M a Al x3 Si y3 N z2 ; ( 2 ) Pure metal Co (high tensile stress >3 GPa or compressive stress ⁇ -30?&) deposited by a similar method as described above , Ni, Cu, Al, Pd, Pt, Ru, Re, Mo, Ta, Ti, Hf, Zr, W, Ir, Eu, Nd, Er or La; (3) High stress deposited by the above similar method (Zhang M
  • M represents one of Ta, Ti, Hf, Zr, Mo or W; a, xl-x3, yl-y3 and zl-z2 are the number of atoms of the element in the compound, once M is specific, then a, xl-x3, yl-y3 and zl-z2 are also determined. It should be noted here that for NMOS, it is necessary to select a suitable metal material to composition ratio, deposition process and post-processing method so that the intrinsic stress of the material is compressive stress, and is greater than 3 GPa; corresponding PMOS needs to select a suitable metal material.
  • a barrier layer 345 may also be formed between the work function adjusting layer 340 and the strained metal layer 350, as shown in FIG.
  • the barrier layer can inhibit mutual diffusion of different elements, improve the work function stability of the surface metal material, and simultaneously improve the adhesion of the strained metal layer to the gate structure.
  • the material of the barrier layer can be selected from the group consisting of: CVD, PECVD, ALD Or sputter deposited M xl N yl , M x2 Si y2 N zl , M x3 Al y3 N z2 or M a Al x3 Si y3 N z2 .
  • M represents Ta, Ti, Hf, Zr, Mo or W; a, xl-x3, yl-y3 and zl-z2 are the number of atoms of the element in the compound, once M is specific, then a, Xl -x3 , yl -y3 and zl-z2 are also determined.
  • the gate stack is then formed using, for example, a selective etch process. Specifically, etching is performed by means of a patterned mask, and the work function adjusting layer 340, the strained metal layer 350 remaining after etching, and preferably further comprising a barrier layer 345 constitute a multilayer metal gate structure, the multilayer metal structure And the gate insulating layer left after etching constitutes the gate stack, as shown in FIG.
  • the work function adjustment layer 340 in the multi-layer metal gate structure optimizes the work function by optimizing the material, composition, process, and processing method (closer to the valence band top or the bottom of the conduction band), thereby adjusting the device threshold to the most Excellent;
  • the strained metal layer 350 optimizes the material intrinsic stress (compressive stress and tensile stress) by optimizing materials, compositions, processes, and treatment methods, thereby applying more efficient strain effects to the device channel; 345 Improve stability and material compatibility.
  • Such a structure overcomes the drawback that the conventional strained metal gate material 105 cannot simultaneously satisfy the optimization of the work function and the strain effect applied.
  • sidewall spacers 320 are formed on both sides of the gate stack as shown in FIG.
  • the material of the side wall 320 includes, but is not limited to, nitride.
  • a conventional stress structure may be embedded in the S/D regions on both sides of the gate stack.
  • NMOS devices for example, a SiC (e-SiC) structure embedded in the S/D region or a structure that can be tensile stress applied to the channel by any future technology.
  • PMOS devices for example, a SiGe (e-SiGe) structure embedded in an S/D region or a structure that can be formed by any future technique to provide compressive stress to the channel.
  • the original sidewall spacer 320 is removed to form the source/drain extension region 330, and then the sidewall spacer is newly formed and the source/drain electrodes 325 are formed by a conventional implantation and annealing process, followed by formation of a silicide contact (not shown) and a gate stack.
  • the interlayer dielectric layer 335 is planarized and used for the subsequent interconnect process, as shown in FIG.
  • a stress liner may also be formed on top of the formed device structure prior to forming the interlayer dielectric layer 335.
  • the liner can apply a corresponding stress to the channel region under the gate stack, thereby increasing the mobility of carriers in the channel.
  • the stress liner can be nitride or oxide lining.
  • the stress liner is not limited to a nitride or oxide village, and other stress lining materials may be used.
  • Methods of forming a stress liner include, but are not limited to, plasma enhanced chemical gases Phase deposition (PECVD) process.
  • a metal contact 360 is formed in 335 to form a MOS device as shown in FIG.
  • those skilled in the art can refer to other publications and patents for details of these steps in order not to obscure the essence of the invention.
  • the present invention is applicable not only to PMOS devices and NMOS devices, but also by those skilled in the art, it will be readily appreciated by those skilled in the art that the methods and structures described herein are equally applicable to CMOS devices.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一种MOS器件及其制造方法,MOS器件包括半导体衬底(200);形成在半导体衬底(200)中的沟道(205);在沟道(205)上的栅堆叠以及围绕栅堆叠的侧墙(220);形成在侧墙(220)两侧的衬底(200)中的源/漏极(225);栅堆叠由绝缘层(210)和其上的多层金属栅构成;多层金属栅包括功函数调节层(240)、应变金属层(250),其中功函数调节层(240)从底部和侧面围绕应变金属层(250);在功函数调节层(240)、应变金属层(250)之间还可以设置阻挡层(245);多层金属栅能最优化功函数调节和施加的应变效果。

Description

一种 MOS器件及其制造方法 优先权要求
本申请要求了 2011年 10月 26 日提交的、 申请号为 201110329077. X、 发明名 为 "一种 MOS器件及其制造方法"的中国专利申请的优先权, 其全部 容通过引用结合在本申请中。 技术领域
本发明涉及半导体领域, 特别涉及一种 MOS器件及其制造方法。 背景技术
从 90nm CMOS集成电路工艺起, 随着器件特征尺寸的不断缩小, 以提高沟道载流子迁移率为 目 的应变沟道工程 ( Strain Channel Engineering )起到了越来越重要的作用。 多种应变技术被集成到器件工 艺中去以提高器件驱动能力。 其中一种方法是产生 "全局应力" , 全 局应力是通常利用如下结构产生的, 例如应变 SiGe衬底, SiGe驰豫緩 冲层上生长的应变硅衬底, 或绝缘体上的应变硅等结构。 另一种方法 是产生 "局部应力" , 局部应力通常通过单轴工艺诱导产生, 例如如 下结构所产生的: 产生应力的浅槽隔离结构、 (双) 应力衬里、 嵌入 在 PMOS的源 /漏极( S/D )区中的 SiGe ( e-SiGe )结构、 嵌入在 NMOS 的源 /漏极( S/D ) 区中的 SiC ( e-SiC )结构等。 然而, 这些常规应力技 术效果会随着器件特征尺寸的缩小而不断削弱, 使得器件驱动能力的 增加幅度无法达到预定目标。
应变金属栅工程提供了一种新的对沟道产生应力的来源, 可以改 善源 /漏异质外延层、 应变衬里绝缘层等常规应力源效果随器件尺寸缩 减而不断减弱的不利影响。 如图 1 中所示, 在 MOS器件 10中, 常规 的应变金属栅材料 105 (如 TiN、 TaN等) 与栅绝缘材料 1 10 (如氧化 硅、 高 K电介质等) 直接接触。 其首要目标是调节金属栅的功函数, 再兼顾栅材料的本征应变对栅绝缘材料下面沟道的应变效果。 然而, 同一材料在面对多个不同功能要求时在作用最优效果上受到限制。
考虑到上述原因,仍然存在对 MOS 器件的沟道产生应变的方法和 半导体结构的需求。 该方法和器件能够克服上述限制。 发明内容
为了实现上述目的, 本发明第一方面提供一种 MOS器件, 包括 半导体衬底; 形成在半导体衬底中的沟道; 形成在沟道上的栅堆 叠以及围绕所述栅堆叠的侧墙; 以及形成在侧墙两侧的衬底中的源 /漏 极; 其中所述栅堆叠由绝缘层和其上的多层金属栅构成, 所述多层金 属栅由用于向所述沟道引入应力的应变金属层、 用于调节金属栅的功 函数的功函数调节层构成, 所述功函数调节层从底部与侧面围绕应变 金属层。
本发明第二方面提供一种制造 MOS器件的方法, 包括步骤: 提供 初始结构, 所述初始结构包括半导体衬底, 在该半导体衬底中形成的 沟道,在沟道上方形成的包括栅绝缘层、栅绝缘层上的牺牲栅的栅堆叠, 围绕栅堆叠的侧墙,以及形成在侧墙两侧的衬底中的源 /漏极; 去除牺牲 栅; 在去除牺牲栅后所形成的开口中形成用于调节待形成的多层金属 栅的功函数的功函数调节层; 以及形成用于向所述沟道引入应力的应 变金属层, 所述功函数调节层从侧面和底部围绕所述应变金属层, 所 述应变金属层和功函数调节层构成所述多层金属栅。
本发明第三方面提供一种 MOS器件, 包括半导体衬底; 形成在半 导体衬底中的沟道; 形成在沟道上的栅堆叠以及围绕所述栅堆叠的侧 墙; 以及形成在侧墙两侧的村底中的源 /漏极; 其中所述栅堆叠由栅绝 缘层和其上的多层金属栅构成, 所述多层金属栅由用于调节金属栅的 功函数的功函数调节层以及形成在其顶部上的、 用于向所述沟道引入 应力的应变金属层构成。
本发明第四方面提供一种制造 MOS器件的方法, 包括步骤: 提供 半导体衬底; 在所述半导体衬底中形成沟道; 在该半导体衬底上依次 形成栅绝缘层、 用于调节功函数的功函数调节层和用于向所述沟道引 入应力的应变金属层; 图案化部分栅绝缘层、 功函数调节层和应变金 属层以形成栅叠层, 其中所述栅叠层由保留的栅绝缘层、 功函数调节 层以及应变金属层构成; 在栅叠层两侧形成侧墙; 以及在侧墙两侧的 衬底中形成源 /漏极。
多层金属栅结构中的功函数调节层通过优化材料、 成分、 工艺与 处理方法使之对应功函数达到最优 (更接近价带顶或导带底) , 由此 可以调节器件阈值到最优; 应变金属层通过优化材料、 成分、 工艺与 处理方法使之对应材料本征应力达到最优 (压应力与张应力) , 由此 可以施加更高效的应变效果到器件沟道。 这样的结构克服了常规的应 变金属栅材料不能同时满足功函数调节和施加的应变效果最优化的缺 陷。 附图说明
通过参考以下描述和用于示出各个实施例的附图可以最好地理解 实施例。 在附图中:
图 1是具有常规应变金属栅的 MOS器件的横截面图;
图 2-6是第一实施例中各步骤对应的器件结构的横截面图; 以及 图 7- 12是第二实施例中各步骤对应的器件结构的横截面图。 具体实施方式
下面, 参考附图描述本发明的实施例的一个或多个方面, 其中在 整个附图中一般用相同的参考标记来指代相同的元件。 在下面的描述 中, 为了解释的目的, 阐述了许多特定的细节以提供对本发明实施僻 的一个或多个方面的彻底理解。 然而, 对本领域技术人员来说可以说 例的一个或多个方面。
第一实施例
本实施例针对通过后栅工艺制造的 MOS器件。以提供如图 2所示 的初始结构 20开始。 初始结构 20包括半导体衬底 200 , 在该半导体衬 底中形成的沟道 205,在沟道 205上方形成的栅堆叠(包括栅绝缘层 210 和牺牲栅 215 ) , 围绕栅堆叠的侧墙 220,形成在侧墙两侧的衬底中的源 /漏极 225以及在侧墙下方的源漏极延伸区 230, 随后在源 /漏极 225上 形成的金属接触区(包括硅化物接触(未示出))和覆盖以隔离器件的层 间介电层 235。 另外, 各 MOS器件还可以用隔离区彼此隔开, 隔离区 例如是沟槽隔离 (STI )或场隔离区, 另外隔离区材料可以是具有应力 的材料或无应力的材料。
形成所述栅绝缘层 210 的材料例如为各种绝缘介质材料及其复合 多层结构。所述介质材料包括但不限于 Hf02, HfSiOx, HfSiON, HfA10x, HfTaOx, HfLaOx, HfAlSiOx, HfLaSiOx等; 稀土基高 K介质材料 Zr02 , La203 , LaA103 , Ti02, Y203等; 以及 Si02 , SiON, Si3N4, A1203等。 所述栅绝缘层可以通过沉积工艺形成, 例如化学气相沉积 (CVD ) 、 等离子辅助 CVD、' 原子层沉积 (ALD ) 、 蒸镀、 反应溅射、 化学溶液 沉积或其他类似沉积工艺, 所述栅绝缘层还可以利用任何上述工艺的 组合而形成。
牺牲栅 215例如由多晶硅或本领域公知的其他材料制成。
可选地, 可以在栅堆叠两侧的 S/D区中嵌入常规的应力结构(图中 未示出)。 对于 NMOS器件, 例如为嵌入 S/D区中的 SiC ( e-SiC )结构 或可由任何未来技术形成的向沟道提供张应力的结构。 对于 PMOS 器 件, 例如为嵌入 S/D 区中的 SiGe ( e-SiGe ) 结构或可由任何未来技术 形成的向沟道提供压应力的结构。
可选地, 还可以在形成层间介电层 235之前在已形成器件结构的 顶部上形成应力衬里 (未示出) , 并在形成层间介电层 235 之后随同 层间介电层 235—起被平坦化直到露出牺牲栅 215表面。 取决于 MOS 器件的类型, 该衬里可对栅堆叠下方的沟道区域施加相应的应力。 应 力衬里可以为氮化物或氧化物衬里。 然而, 本领域技术人员应理解, 应力衬里不限于氮化物或氧化物衬里, 也可使用其它的应力衬里材料。 形成应力村里的方法包括但不限于等离子体增强化学气相沉积 (PECVD)工艺。
之后, 去除牺牲栅 215 , 如图 3 所示。 在牺牲栅下方的栅绝缘层 210可以保持完整或基本完整。 在优选实施例中, 由于上述去除工艺可 能对下面的栅绝缘层 210 造成损伤, 优选地, 同时去除栅绝缘层 210 并重新制作新的栅绝缘层 210。新的栅绝缘层的材料例如为各种绝缘介 质材料及其复合多层结构, 所述介质材料包括但不限于 Hf02, HfSiOx, HfSiON, HfA10x, HfTaOx, HfLaOx, HfAlSiOx, HfLaSiOx等; 稀土基 高 K介质材料 Zr02, La203 , LaA103 , Ti02, Y203等; 以及 Si02, SiON, S13N4 , A1203等。
之后, 在去除牺牲栅后所形成的开口中形成功函数调节层 240。 所 述功函数调节层 240形成在开口的侧壁和底部上, 如图 4所示。 功函 数调节层用于调节金属栅的功函数。 功函数调节层的材料从如下组中 选择: (1) 通过化学气相沉积(CVD ) 、 等离子辅助 CVD ( PECVD ) 、 原子层沉积 (ALD ) 、 溅射 (Sputter ) 等沉积工艺或其他类似沉积工 艺沉积的 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2; (2) 通过 上述方法依次沉积的化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2 或 MaAlx3Siy3Nz2和金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La, 即由化合物和金属组成的复 合层; 或 (3 ) 通过上述方法沉积的 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2 或 MaAlx3Siy3Nz2, 其中还掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La。 其中, 字母 "M,, 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W; a, xl-x3 , yl-y3和 zl-z2是该元素 在化合物中的原子个数, 一旦 M特定, 则 a, xl -x3 , yl-y3和 zl -z2也 确定。 这里需要注意的是, 对于 NMOS, 需要选择合适的 M元素、 合 适的掺入金属元素、 并且调节 a, xl -x3 , yl-y3和 zl -z2的数值以及沉 积的工艺方法使之材料的功函数接近导带底; 对于 PMOS , 需要选择 合适的 M元素、 合适的掺入金属元素、 并且调节 a, xl-x3 , yl-y3和 zl-z2的数值以及沉积的工艺方法使之材料的功函数接近价带顶。 如何 针对于 NMOS或 PMOS选择相应工艺参数以及材料使得材料的功函数 接近导带底或价带顶是本领域技术人员公知的, 这里不再赘述。
之后, 在功函数调节层 240侧壁和底部上形成应变金属层 250 , 即 功函数调节层 240从底部以及侧面围绕应变金属层 250, 如图 5所示。 应变金属层将向沟道引入应力。 应变金属层 250 的材料从如下组中选 择: (1) 利用 CVD, PECVD, ALD或溅射沉积的高应力(张应力〉 3Gpa 或压应力<-30 &)的 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2; ( 2 ) 利用上述类似方法沉积的高应力(张应力>30? 或压应力<-3〇?&) 的纯金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La; (3) 利用上述类似方法沉积的高应力(张应 力 >3GPa 或压应力 <-3GPa)的 MxlNyi、 Mx2Siy2Nzl、 Mx3Aly3Nz2 或 MaAlx3Siy3Nz2 , 其中还掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt, Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La; (4) Si或 Ge的金 属化反应物, 例如 CoSi2, TiSi2, NiSi, PtSi, NiPtSi, CoGeSi, TiGeSi或 NiGeSi等; (5) 利用上述类似方法沉积的高应力(张应力>30?&或压应 <-3GPa)金属氧化物, 例如 ln203, Sn02, ITO,或 IZO等 (6) 利用上述 类似方法沉积的高应力(张应力 >3GPa或压应力 <-3GPa)的多晶硅、非晶 硅、 多晶锗或多晶硅锗; 或 (7) 经过表面高温快速退火(例如激光退火 或尖峰(spike ) 退火) 的上述( 1 ) - ( 6 ) 中的材料, 在其中还可以离 子注入有 C,F,N,0,B,P或 As。 其中, 字母 "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W之一; a, xl-x3 , yl-y3和 zl -z2是该元素在化合物中的原子 个数, 一旦 M特定, 则 a, xl-x3 , yl -y3和 zl -z2也确定。 这里需要注 意的是, 对于 NMOS, 需要选择合适的金属材料与成分比、 沉积的工 艺方法与后期处理方法使之材料的本征应力为压应力, 且大于 3GPa; 对应 PMOS需要选择合适的金属材料与成分比、 沉积的工艺方法与后 期处理方法使之材料的本征应力为张应力, 且大于 3GPa。 如何针对于 NMOS 或 PMOS 选择相应工艺参数以及材料来使得其本征应力大于 3 Gpa是本领域技术人员通过有限次实验容易达到的, 这里不再赘述。
优选地, 在功函数调节层 240和应变金属层 250之间还可以形成 阻挡层 245 , 如图 5所示。 阻挡层可以抑制功函数调节层和应变金属层 之间不同元素的相互扩散, 提高表面金属材料的功函数稳定性; 同时 提高应变金属层与栅结构的粘附性。 所述阻挡层的材料可以从以下组 中选择: 利用 CVD, PECVD, ALD或溅射沉积的 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2。 其中, 字母 "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W; a, xl -x3 , yl -y3和 zl-z2是该元素在化合物中的原子个数, 一旦 M特定, 则 a, xl -x3 , yl -y3和 zl -z2也确 。
上述功函数调节层 240、应变金属层 250并且优选地还包括阻挡层 245构成了多层金属栅结构。所述多层金属栅以及所述栅绝缘层构成新 的栅堆叠。 多层金属栅结构中的功函数调节层 240 通过优化材料、 成 分、 工艺与处理方法使之对应功函数达到最优 (更接近价带顶或导带 底) , 由此可以调节器件阈值到最优; 应变金属层 250通过优化材料、 成分、 工艺与处理方法使之对应材料本征应力达到最优 (压应力与张 应力) , 由此可以施加更高效的应变效果到器件沟道; 阻挡层 245 提 高稳定性与材料兼容性。这样的结构克服了常规的应变金属栅材料 105 不能同时满足功函数调节和施加的应变效果最优化的缺陷。
之后经过其他公知的步骤, 例如在源 /漏极以及栅堆叠顶面形成另 一层间介电层 255以用于接触, 形成金属接触 260从而形成如图 6所 示的 MOS器件。 在任何情况下, 为了不模糊本发明的本质, 本领域技 术人员可参照其他公开文献和专利来了解这些步骤的细节。 第二实施例
本实施例针对通过前栅工艺制造的 MOS器件。以提供如图 Ί所示 的初始结构 30开始。 初始结构 30包括半导体衬底 300, 在该半导体衬 底中形成的沟道 305。 各 MOS器件还可以用隔离区彼此隔开, 隔离区 例如是沟槽隔离 (STI) 或场隔离区, 另外隔离区材料可以是具有应力 的材料或无应力的材料。
在半导体衬底 300上形成栅绝缘层 310, 如图 8所示。 所述栅绝缘 层的材料例如为各种绝缘介质材料及其复合多层结构, 所述介质材料 包括但不限于 Hf02, HfSiOx, HfSiON, HfA10x, HfTaOx, HfLaOx, HfAlSiOx, HfLaSiOx等; 稀土基高 K介质材料 Zr02, La203, LaA103, Ti02, Y203等; 以及 Si02, SiON, Si3N4, A1203等。 所述栅绝缘材料 可以通过沉积工艺形成,例如化学气相沉积(CVD)、等离子辅助 CVD、 原子层沉积 (ALD) 、 蒸镀、 反应溅射、 化学溶液沉积或其他类似沉 积工艺, 所述栅绝缘层还可以利用任何上述工艺的组合而形成。
在所述栅绝缘层 310上沉积功函数调节层 340, 如图 8所示。 功函 数调节层用于调节金属栅的功函数。 功函数调节层的材料从如下组中 选择: (1) 通过化学气相沉积(CVD) 、 等离子辅助 CVD ( PECVD ) 、 原子层沉积 (ALD) 、 溅射 (Sputter) 等沉积工艺或其他类似沉积工 艺沉积的 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2; (2) 通过 上述方法依次沉积的化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2 或 MaAlx3Siy3Nz2和金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La, 即由化合物和金属组成的复 合层; 或 (3 ) 通过上述方法沉积的 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2 或 MaAlx3Siy3Nz2, 其中还掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La。 其中, 字母 "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W; a, xl-x3, yl-y3和 zl-z2是该元素 在化合物中的原子个数, 一旦 M特定, 则 a, xl-x3, yl-y3和 zl-z2也 确定。 这里需要注意的是, 对于 NMOS, 需要选择合适的 M元素、 合 适的掺入金属元素、 并且调节 a, xl-x3, yl-y3和 zl-z2的数值以及沉 积的工艺方法使之材料的功函数接近导带底; 对于 PMOS, 需要选择 合适的 M元素、 合适的掺入金属元素、 并且调节 a, xl-x3, yl-y3和 zl-z2的数值以及沉积的工艺方法使之材料的功函数接近价带顶。 如何 针对于 NMOS或 PMOS选择相应工艺参数以及材料使得材料的功函数 接近导带底或价带顶是本领域技术人员公知的, 这里不再赘述。
之后, 在功函数调节层 340 顶部上形成应变金属层 350, 如图 8 所示。 应变金属层将向沟道引入应力。 应变金属层 350 的材料从如下 组中选择: (1) 利用 CVD, PECVD, ALD或溅射沉积的高应力(张应力 >3Gpa 或压应力 <-3Gpa)的 MxlNyi 、 Mx2Siy2Nzl 、 Mx3Aly3Nz2 或 MaAlx3Siy3Nz2; ( 2 ) 利用上述类似方法沉积的高应力(张应力 >3 GPa 或压应力<-30?&)的纯金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La; (3) 利用上述类似方法 沉积的高应力(张应力>30?&或压应力<-3〇?&)的 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2, 其中还掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La; (4) Si 或 Ge的金属化反应物, 例如 CoSi2, TiSi2, NiSi, PtSi, NiPtSi, CoGeSi, TiGeSi 或 NiGeSi 等; (5) 利用上述类似方法沉积的高应力(张应力 >3GPa或压应力<-30?&)金属氧化物, 例如 ln203, Sn02, ITO,或 ΙΖΟ等 (6) 利用上述类似方法沉积的高应力(张应力>30?&或压应力<-30卩&)的 多晶硅、非晶硅、多晶锗或多晶硅锗;或 (7) 经过表面高温快速退火(例 如激光退火或尖峰 (spike ) 退火) 的上述( 1 ) - ( 6 ) 中的材料, 在其 中还可以离子注入有 C,F,N,0,B,P或 As。 其中, 字母 "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W之一; a, xl-x3 , yl-y3和 zl-z2是该元素在化 合物中的原子个数, 一旦 M特定, 则 a, xl-x3 , yl-y3和 zl -z2也确定。 这里需要注意的是, 对于 NMOS , 需要选择合适的金属材料与成分比、 沉积的工艺方法与后期处理方法使之材料的本征应力为压应力, 且大 于 3GPa; 对应 PMOS需要选择合适的金属材料与成分比、 沉积的工艺 何针对于 NMOS或 PMOS选择相应工艺参数以及材料来使得其本征应 力大于 3Gpa是本领域技术人员通过有限次实验容易达到的,这里不再 赘述。
优选地, 在功函数调节层 340和应变金属层 350之间还可以形成 阻挡层 345 , 如图 8所示。 阻挡层可以抑制不同元素的相互扩散, 提高 表面金属材料的功函数稳定性; 同时提高应变金属层与栅结构的粘附 性。所述阻挡层的材料可以从以下组中选择: 利用 CVD, PECVD, ALD 或溅射沉积的 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2。 其中, 字母 "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W; a, xl-x3 , yl-y3和 zl-z2 是该元素在化合物中的原子个数, 一旦 M 特定, 则 a, xl -x3 , yl -y3 和 zl-z2也确定。
接着利用例如选择刻蚀方法形成栅叠层。 具体地, 借助图案化的 掩膜进行刻蚀, 刻蚀后保留的功函数调节层 340、 应变金属层 350并且 优选地还包括阻挡层 345 构成了多层金属栅结构, 所述多层金属结构 和刻蚀后留下的栅绝缘层构成所述栅堆叠, 如图 9 所示。 多层金属栅 结构中的功函数调节层 340 通过优化材料、 成分、 工艺与处理方法使 之对应功函数达到最优 (更接近价带顶或导带底) , 由此可以调节器 件阈值到最优; 应变金属层 350 通过优化材料、 成分、 工艺与处理方 法使之对应材料本征应力达到最优 (压应力与张应力) , 由此可以施 加更高效的应变效果到器件沟道; 阻挡层 345 提高稳定性与材料兼容 性。 这样的结构克服了常规的应变金属栅材料 105 不能同时满足功函 数调节和施加的应变效果最优化的缺陷。
接着, 在栅叠层两侧形成侧墙 320, 如图 10所示。 侧墙 320的材 料包括但不限于氮化物。
可选地, 可在栅堆叠两侧的 S/D区中嵌入常规的应力结构(图中未 示出)。 对于 NMOS器件, 例如为嵌入 S/D区中的 SiC ( e-SiC )结构或 可由任何未来技术形成的向沟道提供张应力的结构。对于 PMOS器件, 例如为嵌入 S/D 区中的 SiGe ( e-SiGe ) 结构或可由任何未来技术形成 的向沟道提供压应力的结构。
接着, 去除原有侧墙 320形成源漏延伸区 330 ,再随后重新形成侧 墙并通过常规的注入和退火工艺形成源 /漏极 325 , 接着形成硅化物接 触(未示出)以及栅堆叠两侧的层间介电层 335 , 并将之平坦化用于后道 互连工艺, 如图 1 1所示。
可选地, 还可以在形成层间介电层 335之前在已形成器件结构的 顶部上形成应力衬里 (未示出) 。 取决于 MOS器件的类型, 该衬里可 对栅堆叠下方的沟道区域施加相应的应力, 从而提高沟道中载流子的 迁移率。 应力衬里可以为氮化物或氧化物衬里。 然而, 本领域技术人 员应理解, 应力衬里不限于氮化物或氧化物村里, 也可使用其它的应 力衬里材料。 形成应力衬里的方法包括但不限于等离子体增强化学气 相沉积 (PECVD)工艺。
之后经过其他公知的步骤, 在 335 中开孔形成金属接触 360从而 形成如图 12所示的 MOS器件。 在任何情况下, 为了不模糊本发明的 本质, 本领域技术人员可参照其他公开文献和专利来了解这些步骤的 细节。
本发明不仅适用于 PMOS器件以及 NMOS器件, 而且通过本发明 的教导, 本领域技术人员可以容易地认识到本发明所述的方法和结构 同样适用于 CMOS器件。
本发明的范围包括可以使用上面的结构和方法的任何其它实施例 和应用。 因此, 本发明的范围应该参考所附权利要求连同被给予这样 的权利要求的同等物的范围来一起确定。

Claims

权 利 要 求
1. 一种 M0S器件, 包括
半导体村底;
形成在半导体衬底中的沟道;
形成在沟道上的栅堆叠以及围绕所述栅堆叠的侧墙; 以及
形成在侧墙两侧的衬底中的源 /漏极;
其中所述栅堆叠由绝缘层和其上的多层金属栅构成, 所述多层金 属栅由用于向所述沟道引入应力的应变金属层、 用于调节金属栅的功 函数的功函数调节层构成, 所述功函数调节层从底部与侧面围绕应变 金属层。
2. 如权利要求 1 所述的 MOS器件, 还包括在所述功函数调节层 和所述应变金属层之间形成的阻挡层。
3. 如权利要求 1或 2所述的 MOS器件, 其中在所述 MOS器件为 NMOS 的情况下, 所述功函数调节层的材料的功函数接近导带底; 在 所述 MOS器件为 PMOS的情况下,所述功函数调节层的材料的功函数 接近价带顶。
4. 如权利要求 3所述的 MOS器件, 其中所述功函数调节层的材 料从如下组中选择:
( 1 ) 化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2;
( 2 ) 化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2和 金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的复合层; 或者
( 3 ) 掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo, Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2,
其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl-x3、 yl-y3 和 zl-z2是对应元素在化合物中的原子个数。
5. 如权利要求 1或 2所述的 MOS器件, 其中在所述 MOS器件为 NMOS的情况下,所述应变金属层的本征应力为压应力,且大于 3Gpa; 在所述 MOS器件为 PMOS的情况下,所述应变金属层的本征应力为张 应力, 且大于 3Gpa。
6. 如权利要求 5所述的 MOS器件, 其中所述应变金属层的材料 从如下组中选择:
( 1 )化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz ^ MaAlx3Siy3Nz2.;
( 2 ) 金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La;
( 3 ) 掺杂有金属 Co、 Ni、 Cu、 Ah Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2;
( 4 ) CoSi2, TiSi2, NiSi, PtSi, NiPtSi, CoGeSi, TiGeSi或 NiGeSi;
( 5 ) ln203, Sn02, ITO,或 IZO;
( 6 ) 多晶硅、 非晶硅、 多晶锗或多晶硅锗; 或者
( 7 ) 经过表面高温快速退火的上述 ( 1 ) - ( 6 ) 中的材料, 其中, "M" ^J^ Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl -x3、 yl -y3 和 zl-z2是对应元素在化合物中的原子个数。
7. 如权利要求 6所述的 MOS器件, 其中在 (7 ) 所述的材料中还 注入有 C,F,N,0,B,P或 As。
8. 如权利要求 2所述的 MOS器件, 其中所述阻挡层的材料为化 合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz MaAlx3Siy3Nz2 , 其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl-x3、 yl-y3和 zl-z2是对应元 素在化合物中的原子个数。
9. 一种制造 MOS器件的方法, 包括步骤:
提供初始结构, 所述初始结构包括半导体衬底, 在该半导体衬底 中形成的沟道,在沟道上方形成的包括栅绝缘层和其上的牺牲栅的栅堆 叠 , 围绕栅堆叠的侧墙,以及形成在侧墙两侧的衬底中的源 /漏极;
去除牺牲栅;
在去除牺牲栅后所形成的开口中形成用于调节待形成的多层金属 栅的功函数的功函数调节层; 以及
形成用于向所述沟道引入应力的应变金属层, 所述功函数调节层 从侧面和底部围绕所述应变金属层, 所述应变金属层和功函数调节层 构成所述多层金属栅。
10. 如权利要求 9 所述的方法, 还包括在所述功函数调节层和所 述应变金属层之间形成阻挡层。
1 1. 如权利要求 9 或 10 所述的方法, 其中在所述 MOS 器件为 NMOS 的情况下, 调节所述功函数调节层的材料的功函数使其接近导 带底; 在所述 MOS器件为 PMOS的情况下, 调节所述功函数调节层的 材料的功函数使其接近价带顶。
12. 如权利要求 11所述的方法, 其中所述功函数调节层的材料从 如下组中选择:
( 1 )化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2;
( 2 ) 化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2和 金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的复合层; 或者
( 3 ) 掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2 ,
其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl-x3、 yl-y3 和 zl-z2是对应元素在化合物中的原子个数。
13. 如权利要求 9 或 10 所述的方法, 其中在所述 MOS 器件为 NMOS 的情况下, 所述应变金属层的本征应力被设计为压应力, 且大 于 3Gpa; 在所述 MOS器件为 PMOS的情况下, 所述应变金属层的本 征应力被设计为张应力, 且大于 3Gpa。
14. 如权利要求 13所述的方法, 其中所述应变金属层的材料从如 下组中选择:
( 1 )化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz ^ MaAlx3Siy3Nz2;
( 2 ) 金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La;
( 3 ) 掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2;
( 4 ) CoSi2, TiSi2, NiSi, PtSi, NiPtSi, CoGeSi, TiGeSi或 NiGeSi; ( 5 ) ln203, Sn02, ITO,或 IZO;
( 6 ) 多晶硅、 非晶硅、 多晶锗或多晶硅锗; 或者
( 7 ) 经过表面高温快速退火的上述 ( 1 ) - ( 6 ) 中的材料, 其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl-x3、 yl -y3 和 zl-z2是对应元素在化合物中的原子个数。
15. 如权利要求 14 所述的方法, 其中在 (7 ) 所述的材料中还注 入 C,F,N,0,B,P或 As。
16. 如权利要求 10所述的方法, 其中所述阻挡层的材料为化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2 , 其中, "M,, 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl-x3、 yl-y3和 zl-z2是对应元素在 化合物中的原子个数。
17. 一种 MOS器件, 包括
半导体衬底;
形成在半导体衬底中的沟道;
形成在沟道上的栅堆叠以及围绕所述栅堆叠的侧墙; 以及
形成在侧墙两侧的衬底中的源 /漏极;
其中所述栅堆叠由栅绝缘层和其上的多层金属栅构成, 所述多层 金属栅由用于调节金属栅的功函数的功函数调节层以及形成在其顶部 上的、 用于向所述沟道引入应力的应变金属层构成。
18. 如权利要求 17所述的 MOS器件, 还包括在所述功函数调节 层和所述应变金属层之间形成的阻挡层。
19. 如权利要求 17或 18所述的 MOS器件, 其中在所述 MOS器 件为 NMOS的情况下,所述功函数调节层的材料的功函数接近导带底; 在所述 MOS器件为 PMOS的情况下,所述功函数调节层的材料的功函 数接近价带顶。
20. 如权利要求 19所述的 MOS器件, 其中所述功函数调节层的 材料从如下组中选择:
( 1 ) 化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2;
( 2 )化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2和 金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的复合层; 或者
( 3 ) 掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2,
其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl-x3、 yl -y3 和 zl -z2是对应元素在化合物中的原子个数。
21. 如权利要求 17或 18所述的 MOS器件, 其中在所述 MOS器 件为 NMOS的情况下, 所述应变金属层的本征应力为压应力, 且大于 3Gpa; 在所述 MOS器件为 PMOS 的情况下, 所述应变金属层的本征 应力为张应力, 且大于 3Gpa。
22. 如权利要求 21 所述的 MOS器件, 其中所述应变金属层的材 料从如下组中选择:
( 1 )化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2;
( 2 ) 金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La;
( 3 ) 掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的化合物 MxlNy】、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2;
( 4 ) CoSi2, TiSi2, NiSi, PtSi, NiPtSi, CoGeSi, TiGeSi或 NiGeSi; ( 5 ) ln203, Sn02, ITO,或 IZO;
( 6 ) 多晶硅、 非晶硅、 多晶锗或多晶硅锗; 或者
( 7 ) 经过表面高温快速退火的上述 ( 1 ) - ( 6 ) 中的材料, 其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl -x3、 yl -y3 和 zl -z2是对应元素在化合物中的原子个数。
23. 如权利要求 22所述的 MOS器件, 其中在 (7 ) 所述的材料中 还注入有 C,F,N,0,B,P或 As。
24. 如权利要求 18所述的 MOS器件, 其中所述阻挡层的材料为 化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2, 其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl-x3、 yl-y3和 zl-z2是对应元 素在化合物中的原子个数。
25. 一种制造 MOS器件的方法, 包括步骤:
提供半导体衬底;
在所述半导体衬底中形成沟道;
在该半导体衬底上依次形成栅绝缘层、 用于调节功函数的功函数 调节层和用于向所述沟道引入应力的应变金属层;
图案化部分栅绝缘层、功函数调节层和应变金属层以形成栅叠层, 其中所述栅叠层由保留的栅绝缘层、 功函数调节层以及应变金属层构 成; 在栅叠层两侧形成侧墙; 以及
在侧墙两侧的衬底中形成源 /漏极。
26. 如权利要求 25所述的方法, 还包括在所述功函数调节层和所 述应变金属层之间形成阻挡层。
27. 如权利要求 25或 26 所述的方法, 其中在所述 MOS 器件为 带底; 在所述 MOS器件为 PMOS的情 下,、调节所述功函数 节层的 材料的功函数使其接近价带顶。
28. 如权利要求 27所述的方法, 其中所述功函数调节层的材料从 如下组中选择:
( 1 ) 化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2 ^ MaAlx3Siy3Nz2;
( 2 ) 化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2和 金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的复合层; 或者
( 3 ) 掺杂有金属 Co、 Ni、 Cu、 AK Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的化合物 Mx】Ny】、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2,
其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl -x3、 yl-y3 和 zl -z2是对应元素在化合物中的原子个数。
29. 如权利要求 25或 26 所述的方法, 其中在所述 MOS 器件为 NMOS 的情况下, 所述应变金属层的本征应力被设计为压应力, 且大 于 3Gpa; 在所述 MOS器件为 PMOS的情况下, 所述应变金属层的本 征应力被设计为张应力, 且大于 3Gpa。
30. 如权利要求 29所述的方法, 其中所述应变金属层的材料从如 下组中选择:
( 1 ) 化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2;
( 2 ) 金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La;
( 3 ) 掺杂有金属 Co、 Ni、 Cu、 Al、 Pd、 Pt、 Ru、 Re、 Mo、 Ta、 Ti、 Hf、 Zr、 W、 Ir、 Eu、 Nd、 Er或 La的化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2;
( 4 ) CoSi2, TiSi2, NiSi, PtSi, NiPtSi, CoGeSi, TiGeSi或 NiGeSi; ( 5 ) ln203, Sn02, ITO,或 IZO;
(6) 多晶硅、 非晶硅、 多晶锗或多晶硅锗; 或者
(7) 经过表面高温快速退火的上述 ( 1 ) - (6) 中的材料, 其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl-x3、 yl-y3 和 zl-z2是对应元素在化合物中的原子个数。
31. 如权利要求 30 所述的方法, 其中在 (7) 所述的材料中还注 入 C,F,N,0,B,P或 As。
32. 如权利要求 26所述的方法, 其中所述阻挡层的材料为化合物 MxlNyl、 Mx2Siy2Nzl、 Mx3Aly3Nz2或 MaAlx3Siy3Nz2, 其中, "M" 代表 Ta、 Ti、 Hf、 Zr、 Mo 或 W, a、 xl-x3、 yl-y3和 zl-z2是对应元素在 化合物中的原子个数。
PCT/CN2011/001982 2011-10-26 2011-11-28 一种mos器件及其制造方法 WO2013059973A1 (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/513,198 US20130105907A1 (en) 2011-10-26 2011-11-28 Mos device and method of manufacturing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201110329077.XA CN103077969B (zh) 2011-10-26 2011-10-26 一种mos器件及其制造方法
CN201110329077.X 2011-10-26

Publications (1)

Publication Number Publication Date
WO2013059973A1 true WO2013059973A1 (zh) 2013-05-02

Family

ID=48154448

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2011/001982 WO2013059973A1 (zh) 2011-10-26 2011-11-28 一种mos器件及其制造方法

Country Status (3)

Country Link
US (1) US20130105907A1 (zh)
CN (1) CN103077969B (zh)
WO (1) WO2013059973A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9796783B2 (en) 2014-03-05 2017-10-24 Cantargia Ab Anti human interleukin-1 receptor accessory protein (IL1 RAP) antibodies and uses thereof
US10100119B2 (en) 2014-08-06 2018-10-16 Cantargia Ab Anti-human interleukin-1 receptor accessory protein antibody and its use for treating cancer

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
CN104167359B (zh) * 2013-05-17 2018-05-15 中国科学院微电子研究所 半导体器件制造方法
DE102013210624B4 (de) * 2013-06-07 2016-09-29 Globalfoundries Inc. Verfahren zur Herstellung einer Halbleiterstruktur mit einer Implantation von Ionen in ein Kanalgebiet
CN104681597A (zh) * 2013-11-28 2015-06-03 中国科学院微电子研究所 半导体器件及其制造方法
US9947772B2 (en) 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
KR102188538B1 (ko) 2014-04-21 2020-12-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US9887939B2 (en) 2015-03-11 2018-02-06 International Business Machines Corporation Transmitting multi-destination packets in overlay networks

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064390B2 (en) * 2001-06-22 2006-06-20 Micron Technology, Inc. Metal gate engineering for surface p-channel devices
US20070138559A1 (en) * 2005-12-16 2007-06-21 Intel Corporation Replacement gates to enhance transistor strain
CN101685780A (zh) * 2008-09-26 2010-03-31 台湾积体电路制造股份有限公司 半导体装置及制造具有金属栅极堆叠的半导体装置的方法
CN102024813A (zh) * 2009-09-14 2011-04-20 台湾积体电路制造股份有限公司 半导体装置、互补式金属氧化物半导体装置及集成电路
US20110156154A1 (en) * 2009-12-30 2011-06-30 Jan Hoentschel High-k metal gate electrode structures formed at different process stages of a semiconductor device
US7981740B2 (en) * 2009-06-30 2011-07-19 Globalfoundries Inc. Enhanced cap layer integrity in a high-K metal gate stack by using a hard mask for offset spacer patterning

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
US7034460B2 (en) * 2003-04-16 2006-04-25 Matsushita Electric Industrial Co., Ltd. High pressure discharge lamp
US7112483B2 (en) * 2003-08-29 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a device having multiple silicide types
US7029966B2 (en) * 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
JP3790242B2 (ja) * 2003-09-26 2006-06-28 株式会社東芝 半導体装置及びその製造方法
US7223679B2 (en) * 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US8105908B2 (en) * 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP4309911B2 (ja) * 2006-06-08 2009-08-05 株式会社東芝 半導体装置およびその製造方法
US20090053883A1 (en) * 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
EP2061076A1 (en) * 2007-11-13 2009-05-20 Interuniversitair Micro-Elektronica Centrum Vzw Dual work function device with stressor layer and method for manufacturing the same
US8159038B2 (en) * 2008-02-29 2012-04-17 Infineon Technologies Ag Self aligned silicided contacts
US8405143B2 (en) * 2009-07-27 2013-03-26 United Microelectronics Corp. Semiconductor device
CN102074469B (zh) * 2009-11-25 2012-04-11 中国科学院微电子研究所 一种用于pmos器件的金属栅功函数的调节方法
US8334197B2 (en) * 2009-12-16 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating high-k/metal gate device
US8093117B2 (en) * 2010-01-14 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a metal gate
US8952462B2 (en) * 2010-02-05 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of forming a gate
US8298927B2 (en) * 2010-05-19 2012-10-30 Institute of Microelectronics, Chinese Academy of Sciences Method of adjusting metal gate work function of NMOS device
JP2013026332A (ja) * 2011-07-19 2013-02-04 Sony Corp 固体撮像素子および製造方法、並びに電子機器
US8802579B2 (en) * 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064390B2 (en) * 2001-06-22 2006-06-20 Micron Technology, Inc. Metal gate engineering for surface p-channel devices
US20070138559A1 (en) * 2005-12-16 2007-06-21 Intel Corporation Replacement gates to enhance transistor strain
CN101685780A (zh) * 2008-09-26 2010-03-31 台湾积体电路制造股份有限公司 半导体装置及制造具有金属栅极堆叠的半导体装置的方法
US7981740B2 (en) * 2009-06-30 2011-07-19 Globalfoundries Inc. Enhanced cap layer integrity in a high-K metal gate stack by using a hard mask for offset spacer patterning
CN102024813A (zh) * 2009-09-14 2011-04-20 台湾积体电路制造股份有限公司 半导体装置、互补式金属氧化物半导体装置及集成电路
US20110156154A1 (en) * 2009-12-30 2011-06-30 Jan Hoentschel High-k metal gate electrode structures formed at different process stages of a semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9796783B2 (en) 2014-03-05 2017-10-24 Cantargia Ab Anti human interleukin-1 receptor accessory protein (IL1 RAP) antibodies and uses thereof
US10287357B2 (en) 2014-03-05 2019-05-14 Cantargia Ab Methods of treating cancer using anti human interleukin-1 receptor accessory protein (IL1 RAP) antibodies
US10752692B2 (en) 2014-03-05 2020-08-25 Cantargia Ab Anti human interleukin-1 receptor accessory protein (IL1 RAP) antibodies and uses thereof
US11236172B2 (en) 2014-03-05 2022-02-01 Cantargia Ab Methods of producing an IL-1 RAP antibodies
US10100119B2 (en) 2014-08-06 2018-10-16 Cantargia Ab Anti-human interleukin-1 receptor accessory protein antibody and its use for treating cancer
US10562971B2 (en) 2014-08-06 2020-02-18 Cantargia Ab Method of detection of IL1RAP on cells expressing the protein

Also Published As

Publication number Publication date
CN103077969A (zh) 2013-05-01
US20130105907A1 (en) 2013-05-02
CN103077969B (zh) 2016-03-30

Similar Documents

Publication Publication Date Title
KR101447315B1 (ko) 복수의 배리어 층을 구비한 금속 게이트 디바이스를 제공하는 기술
WO2013059973A1 (zh) 一种mos器件及其制造方法
TWI306309B (en) Semiconductor device and method of making the same
US7989321B2 (en) Semiconductor device gate structure including a gettering layer
TWI331781B (en) Semiconductor fabrication method, method of forming a strained semiconductor structure
TWI476823B (zh) 半導體裝置與具有金屬閘極之半導體裝置的製造方法
EP1565931B1 (en) Strained finfet cmos device structures
US8377784B2 (en) Method for fabricating a semiconductor device
WO2013134899A1 (zh) 半导体器件及其制造方法
WO2011079594A1 (zh) 一种半导体器件及其制造方法
TWI496246B (zh) 雙功函數半導體元件及其製法
TW201036070A (en) Semiconductor devices and fabrication methods thereof
WO2013159414A1 (zh) 双金属栅极cmos器件及其制造方法
WO2013059972A1 (zh) 具有双金属栅的cmos器件及其制造方法
WO2011079586A1 (zh) 具有改善的载流子迁移率的场效应晶体管器件及其制造方法
WO2014008685A1 (zh) 半导体器件制造方法
WO2011066746A1 (zh) 一种半导体器件及其制造方法
JP2007150319A (ja) 電界効果トランジスタデバイスおよびその製造方法
WO2011124061A1 (zh) 一种半导体器件及其制造方法
EP3159926A1 (en) Method and structure for cmos metal gate stack
WO2008147433A2 (en) Methods and devices employing metal layers in gates to introduce channel strain
US20120238088A1 (en) Fabrication method of metal gates for gate-last process
CN103066122B (zh) Mosfet及其制造方法
CN103579314B (zh) 半导体器件及其制造方法
WO2011075991A1 (zh) 高性能半导体器件及其形成方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 13513198

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11874466

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11874466

Country of ref document: EP

Kind code of ref document: A1