WO2013019061A2 - 에피택셜 공정을 위한 반도체 제조설비 - Google Patents

에피택셜 공정을 위한 반도체 제조설비 Download PDF

Info

Publication number
WO2013019061A2
WO2013019061A2 PCT/KR2012/006103 KR2012006103W WO2013019061A2 WO 2013019061 A2 WO2013019061 A2 WO 2013019061A2 KR 2012006103 W KR2012006103 W KR 2012006103W WO 2013019061 A2 WO2013019061 A2 WO 2013019061A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chamber
epitaxial
buffer
buffer chamber
Prior art date
Application number
PCT/KR2012/006103
Other languages
English (en)
French (fr)
Other versions
WO2013019061A3 (ko
Inventor
김영대
현준진
우상호
신승우
김해원
Original Assignee
유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 유진테크 filed Critical 유진테크
Priority to CN201280037955.3A priority Critical patent/CN103733309B/zh
Priority to US14/235,901 priority patent/US20140209024A1/en
Priority to JP2014523840A priority patent/JP5844899B2/ja
Publication of WO2013019061A2 publication Critical patent/WO2013019061A2/ko
Publication of WO2013019061A3 publication Critical patent/WO2013019061A3/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • C30B35/005Transport systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Definitions

  • the present invention relates to semiconductor fabrication equipment, and more particularly to semiconductor fabrication equipment for epitaxial processes of forming epitaxial layers on a substrate.
  • a selective epitaxy process involves deposition reactions and etching reactions. Deposition and etching reactions occur simultaneously at relatively different reaction rates for the polycrystalline and epitaxial layers.
  • an epitaxial layer is formed on the single crystal surface while the existing polycrystalline and / or amorphous layer is deposited on at least one second layer.
  • the deposited polycrystalline layer is generally etched at a faster rate than the epitaxial layer.
  • a net selective process results in the deposition of epitaxy material and the deposition of limited or unrestricted polycrystalline material.
  • a selective epitaxy process can result in the formation of an epilayer of silicon containing material on the single crystal silicon surface without deposits remaining on the spacers.
  • Selective epitaxy processes generally have some disadvantages. To maintain selectivity during this epitaxy process, the chemical concentration and reaction temperature of the precursor must be adjusted and adjusted throughout the deposition process. If not enough silicon precursor is supplied, the etching reaction is activated, which slows down the overall process. In addition, harm can occur to the etching of substrate features. If not enough corrosion precursor is supplied, the deposition reaction may reduce the selectivity of forming single and polycrystalline materials across the substrate surface. In addition, conventional selective epitaxy processes generally require high reaction temperatures, such as about 800 ° C., about 1,000 ° C., or higher. Such high temperatures are undesirable during the manufacturing process due to possible uncontrolled nitriding reactions and thermal budgets on the substrate surface.
  • An object of the present invention is to provide a semiconductor manufacturing apparatus capable of forming an epitaxial layer on a substrate.
  • Another object of the present invention is to provide a semiconductor manufacturing apparatus capable of removing a native oxide film formed on a substrate and preventing the native oxide film from being formed on the substrate.
  • a semiconductor manufacturing apparatus includes a cleaning chamber in which a cleaning process is performed on a substrate; An epitaxial chamber in which an epitaxial process of forming an epitaxial layer is formed on the substrate; A buffer chamber having a loading space for loading the substrate; And a transfer chamber coupled to the cleaning chamber, the buffer chamber, and the epitaxial chamber and having a substrate handler for transferring the substrate between the cleaning chamber, the buffer chamber, and the epitaxial chamber.
  • the substrate handler sequentially transfers the substrate on which the cleaning process is completed to the buffer chamber, transfers the substrate loaded on the buffer chamber to the epitaxial chamber, and transfers the substrate on which the epitaxial layer is formed to the buffer chamber. It characterized in that the transfer sequentially.
  • the loading space may include a first loading space in which the substrate on which the cleaning process is completed is loaded, and a second loading space in which the substrate on which the epitaxial layer is formed is loaded.
  • the transfer chamber has a transfer passage through which the substrate enters and exits toward the buffer chamber
  • the buffer chamber has a buffer passage through which the substrate enters and exits
  • the semiconductor manufacturing facility has a buffer side that isolates the buffer chamber from the transfer chamber. It may further include a gate valve.
  • the buffer chamber may include a substrate holder on which the substrate is loaded, and the substrate holder may include the first and second loading spaces.
  • the buffer chamber may include a buffer passage through which the substrate enters and exits;
  • a lifting shaft connected to the substrate holder to move together with the substrate holder;
  • the elevator may include an elevator that drives the lifting shaft to move the first and second loading spaces to correspond to the buffer passage.
  • the buffer chamber may include an exhaust line for exhausting the inside of the buffer chamber to maintain the interior of the buffer chamber in a vacuum state.
  • the epitaxial process may be a batch type made for a plurality of substrates.
  • the natural oxide film formed on the substrate may be removed, but the natural oxide film may be prevented from being formed on the substrate.
  • the epitaxial layer can be effectively formed on the substrate.
  • FIG. 1 is a view schematically showing a semiconductor manufacturing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a view showing a substrate processed according to an embodiment of the present invention.
  • FIG. 3 is a flow diagram illustrating a method of forming an epitaxial layer in accordance with one embodiment of the present invention.
  • FIG. 4 is a diagram illustrating the buffer chamber illustrated in FIG. 1.
  • FIG. 5 is a diagram illustrating the substrate holder shown in FIG. 4.
  • FIG. 5 is a diagram illustrating the substrate holder shown in FIG. 4.
  • FIG. 6 is a view showing the cleaning chamber shown in FIG. 1.
  • FIG. 7 is a view showing another embodiment of the cleaning chamber shown in FIG. 1.
  • FIG. 8 is a view showing the epitaxial chamber shown in FIG. 1.
  • FIG. 9 is a view showing a supply pipe shown in FIG. 1.
  • FIGS. 1 to 9 Embodiments of the present invention will be described in more detail with reference to FIGS. 1 to 9. Embodiments of the invention may be modified in various forms, the scope of the invention should not be construed as limited to the embodiments described below. These embodiments are provided to explain in detail the present invention to those skilled in the art. Accordingly, the shape of each element shown in the drawings may be exaggerated to emphasize a more clear description.
  • the semiconductor manufacturing apparatus 1 is a view schematically showing a semiconductor manufacturing apparatus 1 according to an embodiment of the present invention.
  • the semiconductor manufacturing apparatus 1 includes a process facility 2, an equipment front end module (EFEM) 3, and an interface wall 4.
  • the facility front end module 3 is mounted in front of the process facility 2 to transfer the wafer W between the vessel (not shown) containing the substrates S and the process facility 2.
  • the facility front end module 3 has a plurality of loadports 60 and a frame 50.
  • the frame 50 is located between the load port 60 and the process equipment 2.
  • the container containing the substrate S is placed on the load port 60 by a transfer means (not shown), such as an overhead transfer, an overhead conveyor, or an automatic guided vehicle. Is placed on.
  • the container may be a closed container such as a front open unified pod (FOUP).
  • a frame robot 70 for transferring the substrate S between the vessel placed in the load port 60 and the process facility 2 is installed.
  • a door opener (not shown) for automatically opening and closing the door of the container may be installed.
  • the frame 50 may be provided with a fan filter unit (FFU) (not shown) for supplying clean air into the frame 50 so that clean air flows from the top to the bottom in the frame 50. .
  • FFU fan filter unit
  • the substrate S is subjected to a predetermined process in the process facility 2.
  • the process facility 2 includes a transfer chamber 102, a loadlock chamber 106, cleaning chambers 108a and 108b, a buffer chamber 110, and Epitaxial chambers 112a, 112b and 112c.
  • the transfer chamber 102 has a generally polygonal shape when viewed from the top, and includes a load lock chamber 106, cleaning chambers 108a and 108b, a buffer chamber 110, and epitaxial chambers 112a, 112b and 112c. Is installed on the side of the transfer chamber 102.
  • the loadlock chamber 106 is located on the side adjacent to the facility front end module 3 of the sides of the transfer chamber 102.
  • the substrate S is temporarily stayed in the load lock chamber 106 and then loaded into the process equipment 2 to perform a process. After the process is completed, the substrate S is unloaded from the process equipment 2 to load the chamber. Temporarily stay within 106.
  • the transfer chamber 102, the cleaning chambers 108a, 108b, the buffer chamber 110, and the epitaxial chambers 112a, 112b, 112c are maintained in vacuum, and the loadlock chamber 106 is evacuated from vacuum to atmospheric pressure. Is switched.
  • the loadlock chamber 106 prevents foreign contaminants from entering the transfer chamber 102, the cleaning chambers 108a, 108b, the buffer chamber 110, and the epitaxial chambers 112a, 112b, 112c. In addition, since the substrate S is not exposed to the atmosphere during the transfer of the substrate S, it is possible to prevent the oxide film from growing on the substrate S.
  • FIG. 1 A block diagram illustrating an exemplary computing environment in accordance with the present disclosure.
  • a gate valve (not shown) is installed between the load lock chamber 106 and the transfer chamber 102 and between the load lock chamber 106 and the facility front end module 3.
  • the gate valve provided between the load lock chamber 106 and the transfer chamber 102 is closed and the load lock chamber 106 is closed.
  • the gate valve provided between the load lock chamber 106 and the facility front end module 3 is closed.
  • the transfer chamber 102 has a substrate handler 104.
  • the substrate handler 104 transfers the substrate S between the loadlock chamber 106, the cleaning chambers 108a and 108b, the buffer chamber 110, and the epitaxial chambers 112a, 112b and 112c.
  • the transfer chamber 102 is sealed to maintain a vacuum as the substrate S moves. Maintaining the vacuum is to prevent the substrate S from being exposed to contaminants (eg, O 2, particulate matter, etc.).
  • Epitaxial chambers 112a, 112b and 112c are provided to form an epitaxial layer on the substrate S. As shown in FIG. In this embodiment, three epitaxial chambers 112a, 112b, 112c are provided. Since the epitaxial process takes more time than the cleaning process, it is possible to improve the manufacturing yield through a plurality of epitaxial chambers. Unlike the present embodiment, four or more or two or less epitaxial chambers may be provided.
  • the cleaning chambers 108a and 108b are provided for cleaning the substrate S before the epitaxial process for the substrate S is performed in the epitaxial chambers 112a, 112b and 112c.
  • the amount of oxide present on the crystalline substrate must be minimized. If the surface oxygen content of the substrate is too high, the epitaxial process is adversely affected since oxygen atoms interfere with the crystallographic placement of the deposition material on the seed substrate. For example, during silicon epitaxial deposition, excess oxygen on the crystalline substrate may cause silicon atoms to be displaced from their epitaxial position by clusters of oxygen atoms in atomic units. This local atomic displacement can cause errors in subsequent atomic arrangements as the layer grows thicker.
  • Oxygenatoin of the substrate surface may occur, for example, when the substrate is exposed to the atmosphere when transported. Therefore, a cleaning process for removing a native oxide (or surface oxide) formed on the substrate S may be performed in the cleaning chambers 108a and 108b.
  • the cleaning process is a dry etching process using hydrogen (H * ) and NF 3 gas in the radical state.
  • H * hydrogen
  • NF 3 gas NF 3 gas
  • a reactive gas such as a radical (H * ) of hydrogen gas and a fluoride gas (for example, nitrogen fluoride (NF 3 ))
  • a fluoride gas for example, nitrogen fluoride (NF 3 )
  • NF 3 nitrogen fluoride
  • An intermediate product is produced, such as x F y (x, y being any integer).
  • the intermediate product is highly reactive with the silicon oxide film (SiO 2 ), when the intermediate product reaches the surface of the silicon substrate, the intermediate product selectively reacts with the silicon oxide film to react with the reaction product ((NH 4 ) 2 SiF 6 ) Is generated.
  • the reaction product is pyrolyzed to form a pyrolysis gas and evaporates as shown in the following Reaction Formula (3), and as a result, the silicon oxide film can be removed from the surface of the substrate.
  • the pyrolysis gas includes a gas containing fluorine, such as HF gas or SiF 4 gas.
  • the cleaning process includes a reaction process for producing a reaction product and a heating process for pyrolyzing the reaction product, and the reaction process and the heating process are performed together in the cleaning chambers 108a and 108b or the cleaning chambers 108a and 108b.
  • the reaction process may be carried out in any one of the C) and the heating process may be performed in the other one of the cleaning chambers 108a and 108b.
  • the buffer chamber 110 provides a space in which the substrate S on which the cleaning process is completed is loaded and a space in which the substrate S in which the epitaxial process is performed is loaded.
  • the substrate S moves to the buffer chamber 110 and is loaded into the buffer chamber 110 before being transferred to the epitaxial chambers 112a, 112b and 112c.
  • the epitaxial chambers 112a, 112b and 112c may be batch types in which a single process for a plurality of substrates is performed.
  • the substrate S having the epitaxial process is sequentially loaded in the buffer chamber 110, and the substrate S having the cleaning process completed is sequentially loaded in the epitaxial chambers 112a, 112b and 112c.
  • the substrate S may be loaded in the buffer chamber 110 in the longitudinal direction.
  • FIG. 2 is a view showing a substrate processed according to an embodiment of the present invention.
  • the cleaning process for the substrate S is performed in the cleaning chambers 108a and 108b before the epitaxial process for the substrate S is performed, and the surface of the substrate 70 is cleaned through the cleaning process.
  • the oxide film 72 formed on it can be removed.
  • the oxide film may be removed through a cleaning process in the cleaning chambers 108a and 108b.
  • An epitaxial surface 74 may be exposed on the surface of the substrate 70 through a cleaning process, thereby helping to grow the epitaxial layer.
  • An epitaxial process is then performed on the substrate 70 in the epitaxial chambers 112a, 112b, 112c.
  • the epitaxial process may be accomplished by chemical vapor deposition and may form epitaxial layer 76 on epitaxial surface 74.
  • the epitaxial surface 74 of the substrate 70 includes a reaction comprising silicon gas (eg, SiCl 4, SiHCl 3, SiH 2 Cl 2, SiH 3 Cl, Si 2 H 6, or SiH 4) and a carrier gas (eg, N 2 and / or H 2). May be exposed to gas.
  • the silicon containing gas may be a dopant containing gas (eg, arsine (AsH 3 ), phosphine (PH 3 ), and / Or diborane (B 2 H 6 )).
  • a dopant containing gas eg, arsine (AsH 3 ), phosphine (PH 3 ), and / Or diborane (B 2 H 6 )
  • step S20 the substrate S moves to the cleaning chambers 108a, 108b before the epitaxial process, and the substrate handler 104 transfers the substrate S to the cleaning chambers 108a, 108b.
  • the transfer is through a transfer chamber 102 which is maintained in vacuum.
  • step S30 a cleaning process for the substrate S is performed.
  • the cleaning process includes a reaction process for producing a reaction product and a heating process for pyrolyzing the reaction product.
  • the reaction process and the heating process may be performed together in the cleaning chambers 108a and 108b, or the reaction process may be performed in one of the cleaning chambers 108a and 108b and the heating process may be performed in the other of the cleaning chambers 108a and 108b. Can be.
  • step S40 the substrate S having the cleaning process completed is transferred to the buffer chamber 110, loaded in the buffer chamber 110, and waits for an epitaxial process in the buffer chamber 110.
  • step S50 the substrate S is transferred to the epitaxial chambers 112a, 112b, 112c, and the transfer is performed through the transfer chamber 102 maintained in vacuum.
  • An epitaxial layer may be formed on the substrate S in step S60.
  • the substrate S is transferred to the buffer chamber 110 again in step S70 and loaded into the buffer chamber 110, and the process ends in step S80.
  • FIG. 4 is a view showing the buffer chamber shown in FIG. 1
  • FIG. 5 is a view showing the substrate holder shown in FIG.
  • the buffer chamber 110 includes an upper chamber 110a and a lower chamber 110b.
  • the lower chamber 110b has a passage 110c formed at one side corresponding to the transfer chamber 102, and the substrate S is loaded from the transfer chamber 102 into the buffer chamber 110 through the passage 110c.
  • the transfer chamber 102 has a buffer passage 102a formed at one side corresponding to the buffer chamber 110, and a gate valve 103 is installed between the buffer passage 102a and the passage 110c.
  • the gate valve 103 may isolate the transfer chamber 102 and the buffer chamber 110, and the buffer passage 102a and the passage 110c may be opened and closed through the gate valve 103.
  • the buffer chamber 110 includes a substrate holder 120 on which the substrate S is loaded, and the substrate S is loaded on the substrate holder 120 in the longitudinal direction.
  • the substrate holder 120 is connected to the lifting shaft 122, and the lifting shaft 122 is connected to the support plate 124 and the driving shaft 128 through the lower chamber 110b.
  • the drive shaft 128 is lifted and lifted through the elevator 129, and the lift shaft 122 and the substrate holder 120 may be lifted and lowered by the drive shaft 128.
  • the substrate handler 104 sequentially transfers the substrate S on which the cleaning process is completed, to the buffer chamber 110.
  • the substrate holder 120 is lifted by the elevator 129, and moves the empty slot of the substrate holder 120 to the position corresponding to the passage (110c) by the lift. Therefore, the substrate S transferred to the buffer chamber 110 is loaded on the substrate holder 120, and the substrate S may be loaded in the longitudinal direction by the lifting and lowering of the substrate holder 120.
  • the substrate holder 120 includes an upper loading space 120a and a lower loading space 120b.
  • the substrate S having completed the cleaning process and the substrate S having completed the epitaxial process are loaded on the substrate holder 120. Therefore, it is necessary to distinguish between the substrate S having completed the cleaning process and the substrate S having completed the epitaxial process, and the substrate S having completed the cleaning process is loaded in the upper loading space 120a, and epi The substrate S having completed the tactical process is loaded in the lower loading space 120b.
  • the upper loading space 120a may load 13 substrates S, and one epitaxial chamber 112a, 112b, and 112c may process a process of 13 substrates S.
  • the lower loading space 120b may load 13 substrates S.
  • the lower chamber 110b is connected to the exhaust line 132, and the inside of the buffer chamber 110 may maintain a vacuum state through the exhaust pump 132b.
  • the valve 132a opens and closes the exhaust line 132.
  • the bellows 126 connects the lower portion of the lower chamber 110b and the support plate 124, and the inside of the buffer chamber 110 may be sealed through the bellows 126. That is, the bellows 126 prevents vacuum leakage through the circumference of the lifting shaft 122.
  • FIG. 6 is a view showing the cleaning chamber shown in FIG. 1.
  • the cleaning chambers 108a and 108b may be chambers that perform the same process, and only one cleaning chamber 108a will be described below.
  • the cleaning chamber 108a includes an upper chamber 118a and a lower chamber 118b, and the upper chamber 118a and the lower chamber 118b may be stacked up and down.
  • the upper chamber 118a and the lower chamber 118b each have an upper passage 128a and a lower passage 138a formed on one side corresponding to the transfer chamber 102, and the substrate S has an upper passage 128a and The lower passage 138a may be loaded into the upper chamber 118a and the lower chamber 118b from the transfer chamber 102, respectively.
  • the transfer chamber 102 has an upper passageway 102b and a lower passageway 102a formed on one side corresponding to the upper chamber 118a and the lower chamber 118b, respectively, between the upper passageway 102b and the upper passageway 128a.
  • An upper gate valve 105a is installed in the upper portion, and a lower gate valve 105b is provided between the lower passage 102a and the lower passage 138a.
  • the gate valves 105a and 105b may isolate the upper chamber 118a and the transfer chamber 102, and the lower chamber 118b and the transfer chamber 102, respectively.
  • the upper passageway 102b and the upper passageway 128a may be opened and closed through the upper gate valve 105a, and the lower passageway 102a and the lower passageway 138a may open and close through the lower gate valve 105b. Can be.
  • the upper chamber 118a performs a reaction process using radicals with respect to the substrate S, and the upper chamber 118a is connected to the radical supply line 116a and the gas supply line 116b.
  • the radical supply line is connected to a gas container (not shown) filled with radical generating gas (eg, H 2 or NH 3 ) and a gas container (not shown) filled with a carrier gas (N 2 ), each gas container When the valve is opened, the radical generating gas and the carrier gas are supplied into the upper chamber 118a.
  • the radical supply line 116a is connected to a microwave source (not shown) through a waveguide (not shown), and when the microwave source generates microwaves, the microwave proceeds through the waveguide and invades into the radical supply line 116a.
  • radicals When the radical generating gas flows in that state, it is plasmaated by microwaves to generate radicals.
  • the generated radicals are introduced into the upper chamber 118a by flowing through the radical supply line 116a together with the untreated radical generating gas or carrier gas and the byproducts of the plasma.
  • radicals can also be generated by remote plasma of the ICP method. That is, when the radical generating gas is supplied to the remote plasma source of the ICP method, the radical generating gas is converted into plasma to generate radicals.
  • the generated radicals may flow into the radical supply line 116a and be introduced into the upper chamber 118a.
  • Radicals eg, hydrogen radicals
  • reactive gases eg, into the upper chamber 118a through the gas supply line 116b.
  • Fluoride gas such as NF 3
  • the reaction formula is as follows.
  • the reactive gas and radicals previously adsorbed on the surface of the substrate S react with each other to produce an intermediate product NH x F y , and the intermediate product NH x F y and the natural oxide film SiO on the substrate S surface. 2 ) reacts to form a reaction product ((NH 4 F) SiF 6 ).
  • the substrate S is placed in the susceptor 128 installed in the upper chamber 118a, and the susceptor 128 rotates the substrate S during the reaction process to help uniform reaction.
  • the upper chamber 118a is connected to the exhaust line 119a, and can not only evacuate the upper chamber 118a before the reaction process is performed through the exhaust pump 119c, but also inside the upper chamber 118a. Radicals, reactive gases, unreacted radical generating gases, by-products during plasma formation, carrier gases and the like can be discharged to the outside.
  • the valve 119b opens and closes the exhaust line 119a.
  • the lower chamber 118b performs a heating process on the substrate S, and a heater 148 is installed on an inner upper portion of the lower chamber 118b.
  • the substrate S is transferred to the lower chamber 118b through the substrate handler 104.
  • the substrate S since the substrate S is transferred through the transfer chamber 102 maintaining a vacuum state, the substrate S may be prevented from being exposed to contaminants (eg, O 2, particulate matter, etc.).
  • the heater 148 heats the substrate S to a predetermined temperature (at a predetermined temperature of 100 ° C. or higher, for example, 130 ° C.), whereby the reaction product is thermally decomposed to pyrolysis such as HF or SiF 4 from the surface of the substrate S.
  • the gas is released and vacuum exhaust may remove the thin film of silicon oxide from the surface of the substrate S.
  • the substrate S is placed on the susceptor 138 installed under the heater 148, and the heater 148 heats the substrate S placed on the susceptor 138.
  • the lower chamber 118b is connected to the exhaust line 117a and exhausts reaction by-products (eg, NH 3 , HF, SiF 4 ) inside the lower chamber 118b to the outside through the exhaust pump 117c. can do.
  • the valve 117b opens and closes the exhaust line 117a.
  • the cleaning chamber 108a includes an upper chamber 218a and a lower chamber 218b, and the upper chamber 218a and the lower chamber 218b communicate with each other.
  • the lower chamber 218b has a passage 219 formed at one side corresponding to the transfer chamber 102, and the substrate S may be loaded from the transfer chamber 102 into the cleaning chamber 108a through the passage 219.
  • the transfer chamber 102 has a transfer passage 102d formed on one side corresponding to the cleaning chamber 108a, and a gate valve 107 is installed between the transfer passage 102d and the passage 219.
  • the gate valve 107 may isolate the transfer chamber 102 and the cleaning chamber 108a, and the transfer passage 102d and the passage 219 may be opened and closed through the gate valve 107.
  • the cleaning chamber 108a has a substrate holder 228 on which the substrate S is loaded, and the substrate S is loaded in the longitudinal direction on the substrate holder 228.
  • the substrate holder 228 is connected to the rotating shaft 226, and the rotating shaft 226 is connected to the elevator 232 and the driving motor 234 through the lower chamber 218b.
  • the rotary shaft 226 is lifted and lifted through the elevator 232, and the substrate holder 228 may be lifted with the rotary shaft 226.
  • the rotating shaft 226 rotates through the driving motor 234, and the substrate holder 228 may rotate together with the rotating shaft 226 during the etching process.
  • the substrate handler 104 sequentially transfers the substrate S to the cleaning chamber 108a.
  • the substrate holder 228 is elevated by the elevator 232, and moves the empty slot of the substrate holder 228 to the position corresponding to the passage 219 by the elevation. Therefore, the substrate S transferred to the cleaning chamber 108a is loaded on the substrate holder 228, and the substrate S may be loaded in the longitudinal direction by the lifting and lowering of the substrate holder 228.
  • the substrate holder 228 may load 13 substrates S. As shown in FIG.
  • the substrate holder 228 While the substrate holder 228 is located in the lower chamber 218b, the substrate S is loaded in the substrate holder 228, and as shown in FIG. 7, the substrate holder 228 is attached to the upper chamber 218a. During positioning, a cleaning process for the substrate S takes place.
  • the upper chamber 218a provides a process space in which the cleaning process is performed.
  • the support plate 224 is installed on the rotation shaft 226 and rises together with the substrate holder 228 to block the process space inside the upper chamber 218a from the outside.
  • the support plate 224 is disposed adjacent to the upper end of the lower chamber 218b, and a sealing member 224a (for example, an O-ring) is interposed between the upper end of the support plate 224 and the lower chamber 218b.
  • a bearing member 224b is installed between the support plate 224 and the rotation shaft 226, and the rotation shaft 226 may rotate in a state supported by the bearing member 224b.
  • the reaction process and the heating process for the substrate S are performed in the process space inside the upper chamber 218a.
  • the substrate holder 228 is lifted by the elevator 232 and moved to the process space inside the upper chamber 218a.
  • the injector 216 is installed at one side inside the upper chamber 218a, and the injector 216 has a plurality of inject holes 216a.
  • the injector 216 is connected to the radical supply line 215a.
  • the upper chamber 218a is connected to the gas supply line 215b.
  • the radical supply line 215a is connected to a gas container (not shown) filled with a radical generating gas (eg, H 2 or NH 3 ) and a gas container (not shown) filled with a carrier gas (N 2 ), When the valve of each gas container is opened, radical generating gas and carrier gas are supplied to the process space through the injector 216.
  • the radical supply line 215a is connected to the microwave source (not shown) through the waveguide (not shown), and when the microwave source generates the microwave, the microwave proceeds through the waveguide and invades the radical supply line 215a.
  • radicals When the radical generating gas flows in that state, it is plasmaated by microwaves to generate radicals.
  • the generated radicals are supplied to the injector 216 through the radical supply line 215a together with the untreated radical generating gas or carrier gas and the plasma byproduct, and are introduced into the process space through the injector 216.
  • radicals can also be generated by remote plasma of the ICP method. That is, when the radical generating gas is supplied to the remote plasma source of the ICP method, the radical generating gas is converted into plasma to generate radicals.
  • the generated radicals may flow into the radical supply line 215a and be introduced into the upper chamber 218a.
  • Radicals eg, hydrogen radicals
  • reactive gases eg, into the upper chamber 218a through the gas supply line 215b.
  • Fluoride gas such as NF 3
  • the reaction formula is as follows.
  • the reactive gas and radicals previously adsorbed on the surface of the substrate S react with each other to produce an intermediate product NH x F y , and the intermediate product NH x F y and the natural oxide film SiO on the substrate S surface. 2 ) reacts to form a reaction product ((NH 4 F) SiF 6 ).
  • the substrate holder 228 rotates the substrate S during the etching process to help uniform etching.
  • the upper chamber 218a is connected to the exhaust line 217, and can not only evacuate the upper chamber 218a before the reaction process is performed through the exhaust pump 217b, but also inside the upper chamber 218a. Radicals, reactive gases, unreacted radical generating gases, by-products during plasma formation, carrier gases and the like can be discharged to the outside.
  • the valve 217a opens and closes the exhaust line 217.
  • the heater 248 is installed at the other side of the upper chamber 218a, and the heater 248 heats the substrate S to a predetermined temperature (100 ° C. or higher, for example, 130 ° C.) after the reaction process is completed. .
  • the reaction product may be pyrolyzed to remove pyrolysis gas such as HF or SiF 4 from the surface of the substrate S, and vacuum thinning may remove the thin film of silicon oxide from the surface of the substrate S.
  • Reaction byproducts eg, NH 3 , HF, SiF 4
  • FIG. 8 is a view showing the epitaxial chamber shown in FIG. 1
  • FIG. 9 is a view showing the supply pipe shown in FIG.
  • the epitaxial chambers 112a, 112b, and 112c may be chambers that perform the same process, and only one epitaxial chamber 112a will be described below.
  • the epitaxial chamber 112a includes an upper chamber 312a and a lower chamber 312b, and the upper chamber 312a and the lower chamber 312b communicate with each other.
  • the lower chamber 312b has a passage 319 formed at one side corresponding to the transfer chamber 102, and the substrate S is loaded from the transfer chamber 102 into the epitaxial chamber 112a through the passage 319.
  • the transfer chamber 102 has a transfer passage 102e formed on one side corresponding to the epitaxial chamber 112a, and a gate valve 109 is installed between the transfer passage 102e and the passage 319.
  • the gate valve 109 may isolate the transfer chamber 102 and the epitaxial chamber 112a, and the transfer passage 102e and the passage 319 may be opened and closed through the gate valve 109.
  • the epitaxial chamber 112a has a substrate holder 328 on which the substrate S is loaded, and the substrate S is loaded on the substrate holder 328 in the longitudinal direction.
  • the substrate holder 328 is connected to the rotation shaft 318, and the rotation shaft 318 is connected to the elevator 319a and the driving motor 319b through the lower chamber 312b.
  • the rotating shaft 318 is lifted through the elevator 319a, and the substrate holder 328 may be lifted with the rotating shaft 318.
  • the rotating shaft 318 rotates through the drive motor 319b, and the substrate holder 328 may rotate together with the rotating shaft 318 during the epitaxial process.
  • the substrate handler 104 sequentially transfers the substrate S to the epitaxial chamber 112a.
  • the substrate holder 328 is lifted by the elevator 319a, and moves the empty slot of the substrate holder 328 to the position corresponding to the passage 319 by the lift. Therefore, the substrate S transferred to the epitaxial chamber 112a is mounted on the substrate holder 328, and the substrate S may be loaded in the longitudinal direction by the lifting and lowering of the substrate holder 328.
  • the substrate holder 328 may load 13 substrates S.
  • the reaction tube 314 provides a process space in which the epitaxial process is performed.
  • the support plate 316 is installed on the rotation shaft 318 and rises together with the substrate holder 328 to block the process space inside the reaction tube 314 from the outside.
  • the support plate 316 is disposed adjacent to the lower end of the reaction tube 314, and a sealing member 316a (eg, an O-ring) is interposed between the support plate 316 and the lower end of the reaction tube 314.
  • a bearing member 316b is installed between the support plate 316 and the rotation shaft 318, and the rotation shaft 318 may rotate in a state supported by the bearing member 316b.
  • the epitaxial process on the substrate S is performed in the process space inside the reaction tube 314.
  • the supply pipe 332 is installed on one side of the reaction tube 314, the exhaust pipe 334 is installed on the other side of the reaction tube 314.
  • the supply pipe 332 and the exhaust pipe 334 may be disposed to face each other with respect to the substrate S, and may be disposed in the longitudinal direction according to the loading direction of the substrate S.
  • the side heater 324 and the upper heater 326 are installed outside the reaction tube 314 and heat the process space inside the reaction tube 314.
  • Supply pipe 332 is connected to the supply line 332a, the supply line 332a is connected to the reaction gas source 332c.
  • the reaction gas is stored in the reaction gas source 332c and is supplied to the supply pipe 332 through the supply line 332a.
  • the supply pipe 332 may include first and second supply pipes 332a and 332b, and the plurality of first and second supply pipes 332a and 332b are spaced apart along the longitudinal direction.
  • the supply holes 333a and 333b are formed to be substantially the same as the number of the substrates S loaded in the reaction tube 314, and are positioned to correspond between the substrates S or independently of the substrate S. Can be located.
  • reaction gas supplied through the supply holes 333a and 333b may flow smoothly in a laminar flow state along the surface of the substrate S, and the substrate S may be heated in a state where the substrate S is heated.
  • the epitaxial layer can be formed on ().
  • the supply line 332a may be opened or closed through the valve 332b.
  • the first supply pipe 332a may be a deposition gas (silicon gas (eg, SiCl 4 , SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 H 6 , or SiH 4 ) and a carrier gas (eg, For example, N 2 and / or H 2 )) may be supplied, and the second supply pipe 332b may supply an etching gas.
  • a deposition gas silicon gas (eg, SiCl 4 , SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 H 6 , or SiH 4 )
  • a carrier gas eg, For example, N 2 and / or H 2
  • a third supply tube may be added, which may be a dopant containing gas (eg, arsine (AsH 3 ), force). Fins (PH 3 ), and / or diborane (B 2 H 6 )).
  • the exhaust pipe 334 is connected to the exhaust line 335a and may exhaust the reaction by-product inside the reaction tube 314 through the exhaust pump 335.
  • the exhaust pipe 334 has a plurality of exhaust holes, and like the supply holes 333a and 333b, the exhaust holes 334 may be disposed to correspond to the substrate S or may be positioned independently of the substrate S.
  • the valve 334b opens and closes the exhaust line 334a.
  • the present invention can be applied to various types of semiconductor manufacturing equipment and manufacturing methods.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 일 실시예에 의하면, 반도체 제조설비는 기판에 대한 세정 공정이 이루어지는 세정 챔버; 상기 기판 상에 에피택셜 층을 형성하는 에피택셜 공정이 이루어지는 에피택셜 챔버; 상기 기판을 적재하는 적재공간을 구비하는 버퍼 챔버; 그리고 상기 세정 챔버 및 상기 버퍼 챔버, 그리고 상기 에피택셜 챔버가 측면에 연결되며, 상기 세정 챔버 및 상기 버퍼 챔버, 그리고 상기 에피택셜 챔버 사이에서 상기 기판을 이송하는 기판 핸들러를 구비하는 이송 챔버를 포함하며, 상기 기판 핸들러는 상기 세정 공정이 완료된 상기 기판을 상기 적재공간에 순차적으로 적재한 후 적재된 상기 기판들을 상기 에피택셜 챔버로 이송하며, 상기 에피택셜 층이 형성된 상기 기판을 상기 적재공간에 순차적으로 적재하는 것을 특징으로 한다.

Description

에피택셜 공정을 위한 반도체 제조설비
본 발명은 반도체 제조설비에 관한 것으로, 더욱 상세하게는 기판 상에 에피택셜 층(epitaxial layers)을 형성하는 에피택셜 공정을 위한 반도체 제조설비에 관한 것이다.
통상적인 선택적 에피택시 프로세스(selective epitaxy process)는 증착 반응 및 식각 반응을 수반한다. 증착 및 식각 반응은 다결정층 및 에피택셜 층에 대해 비교적 상이한 반응 속도로 동시에 발생한다. 증착 프로세스 중에, 적어도 하나의 제2층상에, 기존의 다결정층 및/또는 비결정층이 증착되는 동안, 에피택셜 층은 단결정 표면상에 형성된다. 그러나 증착된 다결정층은 일반적으로 에피택셜 층보다 빠른 속도로 식각된다. 따라서, 부식 가스의 농도를 변화시킴으로써, 네트 선택적 프로세스(net selective process)가 에피택시 재료의 증착 및 제한된 또는 제한되지 않은 다결정 재료의 증착을 가져온다. 예를 들어, 선택적 에피택시 프로세스는, 증착물이 스페이서 상에 남아있지 않으면서 단결정 실리콘 표면상에 실리콘 함유 재료의 에피층(epilayer)의 형성을 가져올 수 있다.
선택적 에피택시 프로세스는 일반적으로 몇 가지 단점을 가진다. 이러한 에피택시 프로세스 중에 선택성을 유지시키기 위해, 전구체의 화학적 농도 및 반응 온도가 증착 프로세스에 걸쳐서 조절 및 조정되어야 한다. 충분하지 않은 실리콘 전구체가 공급되면, 식각 반응이 활성화되어 전체 프로세스가 느려진다. 또한, 기판 피처의 식각에 대해 해가 일어날 수 있다. 충분하지 않은 부식액 전구체가 공급되면, 증착 반응은 기판 표면에 걸쳐서 단결정 및 다결정 재료를 형성하는 선택성(selectivity)이 감소할 수 있다. 또한, 통상적인 선택적 에피택시 프로세스는 약 800℃, 약 1,000℃, 또는 그보다 높은 온도와 같은 높은 반응 온도를 일반적으로 요구한다. 이러한 높은 온도는 기판 표면에 대한 가능한 통제되지 않은 질화 반응 및 열 예산(thermal budge) 이유로 인해 제조 프로세스 중에 바람직하지 않다.
본 발명의 목적은 기판 상에 에피택셜 층을 형성할 수 있는 반도체 제조설비를 제공하는 데 있다.
본 발명의 다른 목적은 기판 상에 형성된 자연산화막을 제거하고 기판 상에 자연산화막이 형성되는 것을 방지할 수 있는 반도체 제조설비를 제공하는 데 있다.
본 발명의 또 다른 목적들은 다음의 상세한 설명과 첨부한 도면으로부터 보다 명확해질 것이다.
본 발명의 일 실시예에 의하면, 반도체 제조설비는 기판에 대한 세정 공정이 이루어지는 세정 챔버; 상기 기판 상에 에피택셜 층을 형성하는 에피택셜 공정이 이루어지는 에피택셜 챔버; 상기 기판을 적재하는 적재공간을 구비하는 버퍼 챔버; 그리고 상기 세정 챔버 및 상기 버퍼 챔버, 그리고 상기 에피택셜 챔버가 측면에 연결되며, 상기 세정 챔버 및 상기 버퍼 챔버, 그리고 상기 에피택셜 챔버 사이에서 상기 기판을 이송하는 기판 핸들러를 구비하는 이송 챔버를 포함하며, 상기 기판 핸들러는 상기 세정 공정이 완료된 상기 기판을 상기 버퍼 챔버에 순차적으로 이송하고 상기 버퍼 챔버에 적재된 상기 기판을 상기 에피택셜 챔버로 이송하며, 상기 에피택셜 층이 형성된 상기 기판을 상기 버퍼 챔버에 순차적으로 이송하는 것을 특징으로 한다.
상기 적재공간은 상기 세정 공정이 완료된 상기 기판이 적재되는 제1 적재공간과 상기 에피택셜 층이 형성된 상기 기판이 적재되는 제2 적재공간을 구비할 수 있다.
상기 이송 챔버는 상기 버퍼 챔버를 향해 상기 기판이 출입하는 이송 통로를 가지고, 상기 버퍼 챔버는 상기 기판이 출입하는 버퍼 통로를 가지며, 상기 반도체 제조설비는 상기 버퍼 챔버와 상기 이송 챔버를 격리하는 버퍼측 게이트 밸브를 더 포함할 수 있다.
상기 버퍼 챔버는 상기 기판이 적재되는 기판 홀더를 구비하며, 상기 기판 홀더는 상기 제1 및 제2 적재공간을 구비할 수 있다.
상기 버퍼 챔버는, 상기 기판이 출입하는 버퍼 통로; 상기 기판 홀더에 연결되어 상기 기판 홀더와 함께 승강하는 승강축; 그리고 상기 승강축을 구동하여 상기 제1 및 제2 적재공간을 상기 버퍼 통로와 대응되도록 이동가능한 엘리베이터를 가질 수 있다.
상기 버퍼 챔버는 상기 버퍼 챔버 내부를 배기하여 상기 버퍼 챔버의 내부를 진공 상태로 유지하는 배기라인을 구비할 수 있다.
상기 에피택셜 공정은 복수의 기판들에 대하여 이루어지는 배치 타입일 수 있다.
본 발명의 일 실시예에 의하면 기판 상에 형성된 자연산화막을 제거할 수 있을 뿐만 아니라 기판 상에 자연산화막이 형성되는 것을 방지할 수 있다. 따라서, 기판 상에 효과적으로 에피택셜 층을 형성할 수 있다.
도 1은 본 발명의 일 실시예에 따른 반도체 제조설비를 개략적으로 나타내는 도면이다.
도 2는 본 발명의 일 실시예에 따라 처리된 기판을 나타내는 도면이다.
도 3은 본 발명의 일 실시예에 따라 에피택셜 층을 형성하는 방법을 나타내는 흐름도이다.
도 4는 도 1에 도시한 버퍼 챔버를 나타내는 도면이다.
도 5는 도 4에 도시한 기판 홀더를 나타내는 도면이다.
도 6은 도 1에 도시한 세정 챔버를 나타내는 도면이다.
도 7은 도 1에 도시한 세정 챔버의 다른 실시예를 나타내는 도면이다.
도 8은 도 1에 도시한 에피택셜 챔버를 나타내는 도면이다.
도 9는 도 1에 도시한 공급관을 나타내는 도면이다.
이하, 본 발명의 바람직한 실시예들을 첨부된 도 1 내지 도 9를 참고하여 더욱 상세히 설명한다. 본 발명의 실시예들은 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예들에 한정되는 것으로 해석되어서는 안 된다. 본 실시예들은 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.
도 1은 본 발명의 일 실시예에 따른 반도체 제조설비(1)를 개략적으로 나타내는 도면이다. 반도체 제조장치(1)는 공정설비(2), 설비 전방 단부 모듈(Equipment Front End Module:EFEM)(3), 그리고 경계벽(interface wall)(4)을 포함한다. 설비 전방 단부 모듈(3)은 공정설비(2)의 전방에 장착되어, 기판들(S)이 수용된 용기(도시안됨)와 공정설비(2) 간에 웨이퍼(W)를 이송한다.
설비 전방 단부 모듈(3)은 복수의 로드포트들(loadports)(60)과 프레임(frame)(50)을 가진다. 프레임(50)은 로드포트(60)와 공정 설비(2) 사이에 위치한다. 기판(S)를 수용하는 용기는 오버헤드 트랜스퍼(overhead transfer), 오버헤드 컨베이어(overhead conveyor), 또는 자동 안내 차량(automatic guided vehicle)과 같은 이송 수단(도시안됨)에 의해 로드포트(60) 상에 놓여진다.
용기는 전면 개방 일체식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기가 사용될 수 있다. 프레임(50) 내에는 로드포트(60)에 놓여진 용기와 공정설비(2) 간에 기판(S)을 이송하는 프레임 로봇(70)이 설치된다. 프레임(50) 내에는 용기의 도어를 자동으로 개폐하는 도어 오프너(도시안됨)가 설치될 수 있다. 또한, 프레임(50)에는 청정 공기가 프레임(50) 내 상부에서 하부로 흐르도록 청정 공기를 프레임(50) 내로 공급하는 팬필터 유닛(Fan Filter Unit:FFU)(도시안됨)이 제공될 수 있다.
기판(S)은 공정설비(2) 내에서 소정의 공정이 수행된다. 공정설비(2)는 이송 챔버(transfer chamber)(102), 로드록 챔버(loadlock chamber)(106), 세정 챔버(cleaning chamber)(108a,108b), 버퍼 챔버(buffer chamber)(110), 그리고 에피택셜 챔버(epitaxial chamber)(112a,112b,112c)를 포함한다. 이송 챔버(102)는 상부에서 바라볼 때 대체로 다각의 형상을 가지며, 로드록 챔버(106), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)는 이송 챔버(102)의 측면에 설치된다.
로드록 챔버(106)는 이송 챔버(102)의 측부들 중 설비 전방 단부 모듈(3)과 인접한 측부에 위치한다. 기판(S)은 로드록 챔버(106) 내에 일시적으로 머무른 후 공정설비(2)에 로딩되어 공정이 이루어지며, 공정이 완료된 후 기판(S)은 공정설비(2)로부터 언로딩되어 로드록 챔버(106) 내에 일시적으로 머무른다. 이송 챔버(102), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)는 진공으로 유지되며, 로드록 챔버(106)는 진공상태로부터 대기압상태로 전환된다. 로드록 챔버(106)는 외부 오염물질이 이송 챔버(102), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)로 유입되는 것을 방지한다. 또한, 기판(S)의 이송 동안, 기판(S)이 대기에 노출되지 않으므로, 기판(S) 상에 산화막이 성장하는 것을 방지할 수 있다.
로드록 챔버(106)와 이송 챔버(102) 사이, 그리고 로드록 챔버(106)와 설비 전방 단부 모듈(3) 사이에는 게이트 밸브(도시안됨)가 설치된다. 설비 전방 단부 모듈(3)과 로드록 챔버(106) 간에 기판(S)이 이동하는 경우, 로드록 챔버(106)와 이송 챔버(102) 사이에 제공된 게이트 밸브가 닫히고, 로드록 챔버(106)와 이송 챔버(102) 간에 기판(S)이 이동하는 경우, 로드록 챔버(106)와 설비 전방 단부 모듈(3) 사이에 제공되는 게이트 밸브가 닫힌다.
이송 챔버(102)는 기판 핸들러(104)를 구비한다. 기판 핸들러(104)는 로드록 챔버(106), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c) 사이에서 기판(S)을 이송한다. 이송 챔버(102)는 기판(S)이 이동할 때 진공을 유지하도록 밀봉된다. 진공을 유지하는 것은 기판(S)이 오염물(예를 들면, O2, 입자상 물질 등)에 노출되는 것을 방지하기 위함이다.
에피택셜 챔버(112a,112b,112c)는 기판(S) 상에 에피택셜 층을 형성하기 위하여 제공된다. 본 실시예에서는 3개의 에피택셜 챔버(112a,112b,112c)가 제공된다. 에피택셜 공정은 세정 공정에 비해 많은 시간이 소요되므로, 복수의 에피택셜 챔버를 통해 제조수율을 향상시킬 수 있다. 본 실시예와 달리, 4개 이상이나 2개 이하의 에피택셜 챔버가 제공될 수 있다.
세정 챔버(108a,108b)는 에피택셜 챔버(112a,112b,112c) 내에서 기판(S)에 대한 에피택셜 공정이 이루어지기 이전에 기판(S)을 세정하기 위하여 제공된다. 에피택셜 공정이 성공적으로 이루어지기 위해서는 결정성 기판 상에 존재하는 산화물의 양이 최소화되어야 한다. 기판의 표면 산소 함유량이 너무 높은 경우, 산소 원자가 시드 기판 상의 증착재료의 결정학적 배치를 방해하기 때문에, 에피택셜 공정은 유해한 영향을 받는다. 예를 들면, 실리콘 에피택셜 증착시, 결정성 기판 상의 과도한 산소는, 원자 단위의 산소 원자 클러스터에 의해, 실리콘 원자를 그 에피택셜 위치로부터 변위되게 할 수 있다. 이러한 국소적인 원자 변위는 층이 더 두껍게 성장할 때 후속 원자 배열에 오차를 일으킬 수 있다. 이러한 현상은 이른바 적층 결함 또는 힐락(hillock defects)으로 지칭될 수 있다. 기판 표면의 산소화(oxygenatoin)는, 예를 들면 기판이 이송할 때 대기에 노출되는 경우 발생할 수 있다. 따라서, 기판(S) 상에 형성된 자연 산화막(native oxide)(또는 표면 산화물)을 제거하는 세정 공정이 세정 챔버(108a,108b) 내에서 이루어질 수 있다.
세정 공정은 라디칼 상태의 수소(H*)와 NF3 가스를 사용하는 건식 에칭 공정이다. 예를 들어, 기판의 표면에 형성된 실리콘 산화막을 에칭하는 경우, 챔버 내에 기판을 배치하고 챔버 내에 진공 분위기를 형성한 후, 챔버 내에서 실리콘 산화막과 반응하는 중간 생성물을 발생시킨다.
예를 들어, 챔버 내에 수소 가스의 라디칼(H*)과 불화물 가스(예를 들어, 불화질소(NF3))와 같은 반응성 가스를 공급하면, 아래 반응식(1)과 같이 반응성 가스가 환원되어 NHxFy(x,y는 임의의 정수)와 같은 중간 생성물이 생성된다.
Figure PCTKR2012006103-appb-I000001
중간 생성물은 실리콘 산화막(SiO2)과 반응성이 높기 때문에, 중간 생성물이 실리콘 기판의 표면에 도달하면 실리콘 산화막과 선택적으로 반응하여 아래 반응식(2)와 같이 반응 생성물((NH4)2SiF6)이 생성된다.
Figure PCTKR2012006103-appb-I000002
이후, 실리콘 기판을 100℃ 이상으로 가열하면 아래 반응식(3)과 같이 반응 생성물이 열분해하여 열분해 가스가 되어 증발되므로, 결과적으로 기판 표면으로부터 실리콘 산화막이 제거될 수 있다. 아래 반응식(3)과 같이, 열분해 가스는 HF 가스나 SiF4 가스와 같이 불소를 함유하는 가스가 포함된다.
Figure PCTKR2012006103-appb-I000003
위와 같이, 세정 공정은 반응 생성물을 생성하는 반응 공정 및 반응 생성물을 열분해하는 히팅 공정을 포함하며, 반응 공정 및 히팅 공정은 세정 챔버(108a,108b) 내에서 함께 이루어지거나, 세정 챔버(108a,108b) 중 어느 하나에서 반응 공정이 이루어지고 세정 챔버(108a,108b) 중 다른 하나에서 히팅 공정이 이루어질 수 있다.
버퍼 챔버(110)는 세정 공정이 완료된 기판(S)이 적재되는 공간과 에피택셜 공정이 이루어진 기판(S)이 적재되는 공간을 제공한다. 세정 공정이 완료되면, 기판(S)은 에피택셜 챔버(112a,112b,112c)로 이송되기 이전에 버퍼 챔버(110)로 이동하여 버퍼 챔버(110) 내에 적재된다. 에피택셜 챔버(112a,112b,112c)는 복수의 기판들에 대한 단일 공정이 이루어지는 배치 타입(batch type)일 수 있으며, 에피택셜 챔버(112a,112b,112c) 내에서 에피택셜 공정이 완료되면, 에피택셜 공정이 이루어진 기판(S)은 버퍼 챔버(110) 내에 순차적으로 적재되고, 세정 공정이 완료된 기판(S)은 에피택셜 챔버(112a,112b,112c) 내에 순차적으로 적재된다. 이때, 기판(S)은 버퍼 챔버(110) 내에 종방향으로 적재될 수 있다.
도 2는 본 발명의 일 실시예에 따라 처리된 기판을 나타내는 도면이다. 앞서 설명한 바와 같이, 기판(S)에 대한 에피택셜 공정이 이루어지기 이전에 기판(S)에 대한 세정 공정이 세정 챔버(108a,108b) 내에서 이루어지며, 세정 공정을 통해 기판(70)의 표면에 형성된 산화막(72)을 제거할 수 있다. 산화막은 세정 챔버(108a,108b) 내에서 세정 공정을 통해 제거될 수 있다. 세정 공정을 통해 기판(70)의 표면 상에 에피택시 표면(74)이 노출될 수 있으며, 이를 통해 에피택셜 층의 성장을 돕는다.
이후, 기판(70) 상에 에피택셜 공정이 에피택셜 챔버(112a,112b,112c) 내에서 이루어진다. 에피택셜 공정은 화학기상증착에 의해 이루어질 수 있으며, 에피택시 표면(74) 상에 에피택셜 층(76)을 형성할 수 있다. 기판(70)의 에피택시 표면(74)은 실리콘 가스(예를 들어, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, Si2H6, 또는 SiH4) 및 캐리어 가스(예를 들어, N2 및/또는 H2)를 포함하는 반응가스에 노출될 수 있다. 또한, 에피택셜 층(epitaxial layers)(76)이 도펀트를 포함할 것이 요구되는 경우, 실리콘 함유 가스는 도펀트 함유 가스(예를 들면, 아르신(AsH3), 포스핀(PH3), 및/또는 디보란(B2H6))를 포함할 수 있다.
도 3은 본 발명의 일 실시예에 따라 에피택셜 층을 형성하는 방법을 나타내는 흐름도이다. 방법은 단계(S10)로부터 시작한다. 단계(S20)에서, 기판(S)은 에피택셜 공정 전에 세정 챔버(108a,108b)로 이동하며, 기판 핸들러(104)는 기판(S)을 세정 챔버(108a,108b)로 이송한다. 이송은 진공으로 유지되는 이송 챔버(102)를 통해 이루어진다. 단계(S30)에서, 기판(S)에 대한 세정 공정이 이루어진다. 앞서 설명한 바와 같이, 세정 공정은 반응 생성물을 생성하는 반응 공정 및 반응 생성물을 열분해하는 히팅 공정을 포함한다. 반응 공정 및 히팅 공정은 세정 챔버(108a,108b) 내에서 함께 이루어지거나, 세정 챔버(108a,108b) 중 어느 하나에서 반응 공정이 이루어지고 세정 챔버(108a,108b) 중 다른 하나에서 히팅 공정이 이루어질 수 있다.
단계(S40)에서, 세정 공정이 완료된 기판(S)은 버퍼 챔버(110)로 이송되어 버퍼 챔버(110) 내에 적재되며, 버퍼 챔버(110) 내에서 에피택셜 공정을 대기한다. 단계(S50)에서 기판(S)은 에피택셜 챔버(112a,112b,112c)로 이송되며, 이송은 진공으로 유지되는 이송 챔버(102)를 통해 이루어진다. 단계(S60)에서 기판(S) 상에 에피택셜 층이 형성될 수 있다. 이후, 기판(S)은 단계(S70)에서 다시 버퍼 챔버(110)로 이송되어 버퍼 챔버(110) 내에 적재되며, 단계(S80)에서 공정이 종료된다.
도 4는 도 1에 도시한 버퍼 챔버를 나타내는 도면이며, 도 5는 도 4에 도시한 기판 홀더를 나타내는 도면이다. 버퍼 챔버(110)는 상부챔버(110a)와 하부챔버(110b)를 구비한다. 하부 챔버(110b)는 이송 챔버(102)에 대응되는 일측에 형성된 통로(110c)를 구비하며, 기판(S)은 통로(110c)를 통해 이송 챔버(102)로부터 버퍼 챔버(110)로 로딩된다. 이송 챔버(102)는 버퍼 챔버(110)에 대응되는 일측에 형성된 버퍼 통로(102a)를 가지며, 버퍼 통로(102a)와 통로(110c) 사이에는 게이트 밸브(103)가 설치된다. 게이트 밸브(103)는 이송 챔버(102)와 버퍼 챔버(110)를 격리할 수 있으며, 버퍼 통로(102a)와 통로(110c)는 게이트 밸브(103)를 통해 개방 및 폐쇄될 수 있다.
버퍼 챔버(110)는 기판(S)이 적재되는 기판 홀더(120)를 구비하며, 기판(S)은 기판 홀더(120) 상에 종방향으로 적재된다. 기판 홀더(120)는 승강축(122)에 연결되며, 승강축(122)은 하부챔버(110b)를 관통하여 지지판(124) 및 구동축(128)에 연결된다. 구동축(128)은 엘리베이터(129)를 통해 승강하며, 구동축(128)에 의해 승강축(122) 및 기판 홀더(120)는 승강할 수 있다.
기판 핸들러(104)는 세정 공정이 완료된 기판(S)을 버퍼 챔버(110)로 순차적으로 이송한다. 이때, 기판 홀더(120)는 엘리베이터(129)에 의해 승강하며, 승강에 의해 기판 홀더(120)의 비어 있는 슬롯을 통로(110c)와 대응되는 위치로 이동한다. 따라서, 버퍼 챔버(110)로 이송된 기판(S)은 기판 홀더(120) 상에 적재되며, 기판 홀더(120)의 승강에 의해 기판(S)은 종방향으로 적재될 수 있다.
한편, 도 5에 도시한 바와 같이, 기판 홀더(120)는 상부적재공간(120a)과 하부적재공간(120b)을 구비한다. 앞서 설명한 바와 같이, 세정 공정을 완료한 기판(S) 및 에피택셜 공정을 완료한 기판(S)은 기판 홀더(120) 상에 적재된다. 따라서, 세정 공정을 완료한 기판(S)과 에피택셜 공정을 완료한 기판(S)은 구별될 필요가 있으며, 세정 공정을 완료한 기판(S)은 상부적재공간(120a)에 적재되고, 에피택셜 공정을 완료한 기판(S)은 하부적재공간(120b)에 적재된다. 상부적재공간(120a)은 13장의 기판들(S)을 적재할 수 있으며, 하나의 에피택셜 챔버(112a,112b,112c)는 13장의 기판들(S)에 대한 공정을 처리할 수 있다. 마찬가지로, 하부적재공간(120b)은 13장의 기판들(S)을 적재할 수 있다.
하부챔버(110b)는 배기라인(132)에 연결되며, 배기펌프(132b)를 통해 버퍼 챔버(110)의 내부는 진공 상태를 유지할 수 있다. 밸브(132a)는 배기라인(132)을 개폐한다. 벨로우즈(126)는 하부챔버(110b)의 하부와 지지판(124)을 연결하며, 벨로우즈(126)를 통해 버퍼 챔버(110)의 내부는 밀봉될 수 있다. 즉, 벨로우즈(126)는 승강축(122)의 둘레를 통한 진공 누출을 방지한다.
도 6은 도 1에 도시한 세정 챔버를 나타내는 도면이다. 앞서 설명한 바와 같이, 세정 챔버(108a,108b)는 동일한 공정을 수행하는 챔버일 수 있으며, 이하에서는 하나의 세정 챔버(108a)에 대해서만 설명하기로 한다.
세정 챔버(108a)는 상부챔버(118a)와 하부챔버(118b)를 구비하며, 상부챔버(118a)와 하부챔버(118b)는 상하로 적재될 수 있다. 상부챔버(118a) 및 하부챔버(118b)는 이송 챔버(102)에 대응되는 일측에 형성된 상부통로(128a) 및 하부통로(138a)를 각각 구비하며, 기판(S)은 상부통로(128a) 및 하부통로(138a)를 통해 이송 챔버(102)로부터 상부챔버(118a) 및 하부챔버(118b)로 각각 로딩될 수 있다. 이송 챔버(102)는 상부챔버(118a) 및 하부챔버(118b)에 각각 대응되는 일측에 형성된 상부 통로(102b) 및 하부 통로(102a)를 가지며, 상부 통로(102b)와 상부통로(128a) 사이에는 상부 게이트 밸브(105a)가 설치되고, 하부 통로(102a)와 하부통로(138a) 사이에는 하부 게이트 밸브(105b)가 설치된다. 게이트 밸브(105a,105b)는 상부챔버(118a)와 이송 챔버(102), 그리고 하부챔버(118b)와 이송 챔버(102)를 각각 격리할 수 있다. 상부 통로(102b)와 상부통로(128a)는 상부 게이트 밸브(105a)를 통해 개방 및 폐쇄될 수 있으며, 하부 통로(102a)와 하부통로(138a)는 하부 게이트 밸브(105b)를 통해 개방 및 폐쇄될 수 있다.
상부챔버(118a)는 기판(S)에 대하여 라디칼을 이용한 반응 공정을 진행하며, 상부챔버(118a)는 라디칼 공급라인(116a) 및 가스공급라인(116b)에 연결된다. 라디칼 공급라인은 라디칼 생성가스(예를 들어, H2 또는 NH 3 )가 충전된 가스용기(도시안함)와 캐리어 가스(N2)가 충전된 가스 용기(도시안함)에 연결되며, 각 가스 용기의 밸브를 개방하면 라디칼 생성가스와 캐리어 가스가 상부챔버(118a)의 내부로 공급된다. 또한, 라디칼 공급라인(116a)은 도파관(도시안함)을 통해 마이크로파원(도시안함)에 접속되며, 마이크로파원이 마이크로파를 발생시키면 마이크로파는 도파관을 진행하여 라디칼 공급라인(116a) 내부로 침입한다. 그 상태에서 라디칼 생성가스가 흐르면 마이크로파에 의해 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 미처리된 라디칼 생성가스나 캐리어 가스, 그리고 플라즈마화의 부생성물과 함께 라디칼 공급라인(116a)을 흘러 상부챔버(118a)의 내부로 도입된다. 한편, 본 실시예와 달리, 라디칼은 ICP 방식의 리모트 플라즈마에 의해서도 생성될 수 있다. 즉, ICP 방식의 리모트 플라즈마 소스에 라디칼 생성가스가 공급되면, 라디칼 생성가스는 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 라디칼 공급라인(116a)을 흘러 상부챔버(118a)의 내부로 도입될 수 있다.
라디칼 공급라인(116a)을 통해 상부챔버(118a) 내부에 라디칼(예를 들어, 수소 라디칼)이 공급되고, 가스공급라인(116b)을 통해 상부챔버(118a) 내부에 반응성 가스(예를 들어, NF3와 같은 불화물 가스)가 공급되며, 이들을 혼합시켜 반응시킨다. 이 경우, 반응식은 아래와 같다.
Figure PCTKR2012006103-appb-I000004
Figure PCTKR2012006103-appb-I000005
즉, 기판(S)의 표면에 미리 흡착한 반응성 가스와 라디칼이 반응하여 중간 생성물(NHxFy)이 생성되고, 중간생성물(NHxFy)과 기판(S) 표면의 자연 산화막(SiO2)이 반응하여 반응 생성물((NH4F)SiF6)이 형성된다. 한편, 기판(S)은 상부챔버(118a) 내에 설치된 서셉터(128)에 놓여지며, 서셉터(128)는 반응공정 동안 기판(S)을 회전시켜 균일한 반응이 이루어질 수 있도록 돕는다.
상부챔버(118a)는 배기라인(119a)에 연결되며, 배기펌프(119c)를 통해 반응 공정이 이루어지기 전 상부챔버(118a)에 대한 진공 배기를 할 수 있을 뿐만 아니라, 상부챔버(118a) 내부의 라디칼과 반응성 가스, 미반응 라디칼 생성가스, 플라즈마화할 때의 부생성물, 캐리어 가스 등을 외부로 배출할 수 있다. 밸브(119b)는 배기라인(119a)을 개폐한다.
하부챔버(118b)는 기판(S)에 대한 히팅공정을 진행하며, 하부챔버(118b)의 내측 상부에는 히터(148)가 설치된다. 반응 공정이 완료되면, 기판(S)은 기판 핸들러(104)를 통해 하부챔버(118b)로 이송된다. 이때, 기판(S)은 진공 상태를 유지하는 이송 챔버(102)를 통해 이송되므로, 기판(S)이 오염물(예를 들면, O2, 입자상 물질 등)에 노출되는 것을 방지할 수 있다.
히터(148)는 기판(S)을 소정 온도(100℃ 이상의 소정 온도, 예를 들어, 130℃)로 가열하며, 이로 인해 반응 생성물이 열분해하여 기판(S) 표면으로부터 HF나 SiF4와 같은 열분해 가스가 이탈되고, 진공배기됨으로써 기판(S)의 표면으로부터 실리콘 산화물의 박막이 제거될 수 있다. 기판(S)은 히터(148)의 하부에 설치된 서셉터(138)에 놓여지며, 히터(148)는 서셉터(138)에 놓여진 기판(S)을 가열한다.
Figure PCTKR2012006103-appb-I000006
한편, 하부챔버(118b)는 배기라인(117a)에 연결되며, 배기펌프(117c)를 통해 하부챔버(118b) 내부의 반응부산물(예를 들어, NH3, HF, SiF4)을 외부로 배기할 수 있다. 밸브(117b)는 배기라인(117a)을 개폐한다.
도 7은 도 1에 도시한 세정 챔버의 다른 실시예를 나타내는 도면이다. 세정 챔버(108a)는 상부챔버(218a)와 하부챔버(218b)를 구비하며, 상부챔버(218a)와 하부챔버(218b)는 서로 연통된다. 하부 챔버(218b)는 이송 챔버(102)에 대응되는 일측에 형성된 통로(219)를 가지며, 기판(S)은 통로(219)를 통해 이송 챔버(102)로부터 세정 챔버(108a)로 로딩될 수 있다. 이송 챔버(102)는 세정 챔버(108a)에 대응되는 일측에 형성된 이송 통로(102d)를 가지며, 이송 통로(102d)와 통로(219) 사이에는 게이트 밸브(107)가 설치된다. 게이트 밸브(107)는 이송 챔버(102)와 세정 챔버(108a)를 격리할 수 있으며, 이송 통로(102d)와 통로(219)는 게이트 밸브(107)를 통해 개방 및 폐쇄될 수 있다.
세정 챔버(108a)는 기판(S)이 적재되는 기판 홀더(228)를 구비하며, 기판(S)은 기판 홀더(228) 상에 종방향으로 적재된다. 기판 홀더(228)는 회전축(226)에 연결되며, 회전축(226)은 하부챔버(218b)를 관통하여 엘리베이터(232) 및 구동모터(234)에 연결된다. 회전축(226)은 엘리베이터(232)를 통해 승강하며, 기판 홀더(228)는 회전축(226)과 함께 승강할 수 있다. 회전축(226)은 구동모터(234)를 통해 회전하며, 기판 홀더(228)는 에칭 공정이 이루어지는 동안 회전축(226)과 함께 회전할 수 있다.
기판 핸들러(104)는 기판(S)을 세정 챔버(108a)로 순차적으로 이송한다. 이때, 기판 홀더(228)는 엘리베이터(232)에 의해 승강하며, 승강에 의해 기판 홀더(228)의 비어 있는 슬롯을 통로(219)와 대응되는 위치로 이동한다. 따라서, 세정 챔버(108a)로 이송된 기판(S)은 기판 홀더(228) 상에 적재되며, 기판 홀더(228)의 승강에 의해 기판(S)은 종방향으로 적재될 수 있다. 기판 홀더(228)는 13장의 기판들(S)을 적재할 수 있다.
기판 홀더(228)가 하부챔버(218b) 내에 위치하는 동안, 기판(S)은 기판 홀더(228) 내에 적재되며, 도 7에 도시한 바와 같이, 기판 홀더(228)가 상부챔버(218a)에 위치하는 동안, 기판(S)에 대한 세정 공정이 이루어진다. 상부챔버(218a)는 세정 공정이 이루어지는 공정공간을 제공한다. 지지판(224)은 회전축(226) 상에 설치되며, 기판 홀더(228)와 함께 상승하여 상부챔버(218a) 내부의 공정공간을 외부로부터 차단한다. 지지판(224)은 하부챔버(218b)의 상단부에 인접하도록 배치되며, 지지판(224)과 하부챔버(218b)의 상단부 사이에는 실링부재(224a)(예를 들어, O-링과 같은)가 개재되어 공정공간을 밀폐한다. 지지판(224)과 회전축(226) 사이에는 베어링부재(224b)가 설치되며, 회전축(226)은 베어링부재(224b)에 의해 지지된 상태에서 회전할 수 있다.
기판(S)에 대한 반응공정 및 히팅공정은 상부챔버(218a) 내부의 공정공간 내에서 이루어진다. 기판 홀더(228)에 기판(S)이 모두 적재되면, 기판 홀더(228)는 엘리베이터(232)에 의해 상승하여 상부챔버(218a) 내부의 공정공간으로 이동한다. 인젝터(216)는 상부챔버(218a) 내부의 일측에 설치되며, 인젝터(216)는 복수의 인젝트홀들(216a)을 가진다.
인젝터(216)는 라디칼 공급라인(215a)에 연결된다. 또한, 상부챔버(218a)는 가스공급라인(215b)에 연결된다. 라디칼 공급라인(215a)은 라디칼 생성가스(예를 들어, H2 또는 NH 3 )가 충전된 가스용기(도시안함)와 캐리어 가스(N2)가 충전된 가스 용기(도시안함)에 연결되며, 각 가스 용기의 밸브를 개방하면 라디칼 생성가스와 캐리어 가스가 인젝터(216)를 통해 공정공간으로 공급된다. 또한, 라디칼 공급라인(215a)은 도파관(도시안함)을 통해 마이크로파원(도시안함)에 접속되며, 마이크로파원이 마이크로파를 발생시키면 마이크로파는 도파관을 진행하여 라디칼 공급라인(215a) 내부로 침입한다. 그 상태에서 라디칼 생성가스가 흐르면 마이크로파에 의해 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 미처리된 라디칼 생성가스나 캐리어 가스, 그리고 플라즈마화의 부생성물과 함께 라디칼 공급라인(215a)을 흘러 인젝터(216)에 공급되며, 인젝터(216)를 통해 공정공간으로 도입된다. 한편, 본 실시예와 달리, 라디칼은 ICP 방식의 리모트 플라즈마에 의해서도 생성될 수 있다. 즉, ICP 방식의 리모트 플라즈마 소스에 라디칼 생성가스가 공급되면, 라디칼 생성가스는 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 라디칼 공급라인(215a)을 흘러 상부챔버(218a)의 내부로 도입될 수 있다.
라디칼 공급라인(215a)을 통해 상부챔버(218a) 내부에 라디칼(예를 들어, 수소 라디칼)이 공급되고, 가스공급라인(215b)을 통해 상부챔버(218a) 내부에 반응성 가스(예를 들어, NF3와 같은 불화물 가스)가 공급되며, 이들을 혼합시켜 반응시킨다. 이 경우, 반응식은 아래와 같다.
Figure PCTKR2012006103-appb-I000007
Figure PCTKR2012006103-appb-I000008
즉, 기판(S)의 표면에 미리 흡착한 반응성 가스와 라디칼이 반응하여 중간 생성물(NHxFy)이 생성되고, 중간생성물(NHxFy)과 기판(S) 표면의 자연 산화막(SiO2)이 반응하여 반응 생성물((NH4F)SiF6)이 형성된다. 한편, 기판 홀더(228)는 에칭공정 동안 기판(S)을 회전시켜 균일한 에칭이 이루어질 수 있도록 돕는다.
상부챔버(218a)는 배기라인(217)에 연결되며, 배기펌프(217b)를 통해 반응 공정이 이루어지기 전 상부챔버(218a)에 대한 진공 배기를 할 수 있을 뿐만 아니라, 상부챔버(218a) 내부의 라디칼과 반응성 가스, 미반응 라디칼 생성가스, 플라즈마화할 때의 부생성물, 캐리어 가스 등을 외부로 배출할 수 있다. 밸브(217a)는 배기라인(217)을 개폐한다.
히터(248)는 상부챔버(218a)의 타측에 설치되며, 히터(248)는 반응 공정이 완료된 후 기판(S)을 소정 온도(100℃ 이상의 소정 온도, 예를 들어, 130℃)로 가열한다. 이로 인해 반응 생성물이 열분해하여 기판(S) 표면으로부터 HF나 SiF4와 같은 열분해 가스가 이탈되고, 진공배기됨으로써 기판(S)의 표면으로부터 실리콘 산화물의 박막이 제거될 수 있다. 반응부산물(예를 들어, NH3, HF, SiF4)은 배기라인(217)을 통해 외부로 배출될 수 있다.
Figure PCTKR2012006103-appb-I000009
도 8은 도 1에 도시한 에피택셜 챔버를 나타내는 도면이며, 도 9는 도 1에 도시한 공급관을 나타내는 도면이다. 에피택셜 챔버(112a,112b,112c)는 동일한 공정을 수행하는 챔버일 수 있으며, 이하에서는 하나의 에피택셜 챔버(112a)에 대해서만 설명하기로 한다.
에피택셜 챔버(112a)는 상부챔버(312a)와 하부챔버(312b)를 구비하며, 상부챔버(312a)와 하부챔버(312b)는 서로 연통된다. 하부 챔버(312b)는 이송 챔버(102)에 대응되는 일측에 형성된 통로(319)를 가지며, 기판(S)은 통로(319)를 통해 이송 챔버(102)로부터 에피택셜 챔버(112a)로 로딩될 수 있다. 이송 챔버(102)는 에피택셜 챔버(112a)에 대응되는 일측에 형성된 이송 통로(102e)를 가지며, 이송 통로(102e)와 통로(319) 사이에는 게이트 밸브(109)가 설치된다. 게이트 밸브(109)는 이송 챔버(102)와 에피택셜 챔버(112a)를 격리할 수 있으며, 이송 통로(102e)와 통로(319)는 게이트 밸브(109)를 통해 개방 및 폐쇄될 수 있다.
에피택셜 챔버(112a)는 기판(S)이 적재되는 기판 홀더(328)를 구비하며, 기판(S)은 기판 홀더(328) 상에 종방향으로 적재된다. 기판 홀더(328)는 회전축(318)에 연결되며, 회전축(318)은 하부챔버(312b)를 관통하여 엘리베이터(319a) 및 구동모터(319b)에 연결된다. 회전축(318)은 엘리베이터(319a)를 통해 승강하며, 기판 홀더(328)는 회전축(318)과 함께 승강할 수 있다. 회전축(318)은 구동모터(319b)를 통해 회전하며, 기판 홀더(328)는 에피택셜 공정이 이루어지는 동안 회전축(318)과 함께 회전할 수 있다.
기판 핸들러(104)는 기판(S)을 에피택셜 챔버(112a)로 순차적으로 이송한다. 이때, 기판 홀더(328)는 엘리베이터(319a)에 의해 승강하며, 승강에 의해 기판 홀더(328)의 비어 있는 슬롯을 통로(319)와 대응되는 위치로 이동한다. 따라서, 에피택셜 챔버(112a)로 이송된 기판(S)은 기판 홀더(328) 상에 적재되며, 기판 홀더(328)의 승강에 의해 기판(S)은 종방향으로 적재될 수 있다. 기판 홀더(328)는 13장의 기판들(S)을 적재할 수 있다.
기판 홀더(328)가 하부챔버(312b) 내에 위치하는 동안, 기판(S)은 기판 홀더(328) 내에 적재되며, 도 8에 도시한 바와 같이, 기판 홀더(328)가 반응튜브(314) 내에 위치하는 동안, 기판(S)에 대한 에피택셜 공정이 이루어진다. 반응튜브(314)는 에피택셜 공정이 이루어지는 공정공간을 제공한다. 지지판(316)은 회전축(318) 상에 설치되며, 기판 홀더(328)와 함께 상승하여 반응튜브(314) 내부의 공정공간을 외부로부터 차단한다. 지지판(316)은 반응튜브(314)의 하단부에 인접하도록 배치되며, 지지판(316)과 반응튜브(314)의 하단부 사이에는 실링부재(316a)(예를 들어, O-링과 같은)가 개재되어 공정공간을 밀폐한다. 지지판(316)과 회전축(318) 사이에는 베어링부재(316b)가 설치되며, 회전축(318)은 베어링부재(316b)에 의해 지지된 상태에서 회전할 수 있다.
기판(S)에 대한 에피택셜 공정은 반응튜브(314) 내부의 공정공간 내에서 이루어진다. 공급관(332)은 반응튜브(314) 내부의 일측에 설치되며, 배기관(334)은 반응튜브(314) 내부의 타측에 설치된다. 공급관(332) 및 배기관(334)은 기판(S)을 중심으로 서로 마주보도록 배치될 수 있으며, 기판(S)의 적재방향에 따라 종방향으로 배치될 수 있다. 측부히터(324) 및 상부히터(326)는 반응튜브(314)의 외측에 설치되며, 반응튜브(314) 내부의 공정공간을 가열한다.
공급관(332)은 공급라인(332a)에 연결되며, 공급라인(332a)은 반응가스 소스(332c)에 연결된다. 반응가스는 반응가스 소스(332c)에 저장되며, 공급라인(332a)을 통해 공급관(332)에 공급된다. 도 9에 도시한 바와 같이, 공급관(332)은 제1 및 제2 공급관(332a,332b)을 구비할 수 있으며, 제1 및 제2 공급관(332a,332b)은 길이방향을 따라 이격배치된 복수의 공급홀들(333a,333b)을 가진다. 이때, 공급홀들(333a,333b)은 반응튜브(314)에 로딩된 기판(S)의 개수와 대체로 동일하게 형성되며, 기판(S)의 사이에 대응되도록 위치하거나 기판(S)과 무관하게 위치할 수 있다. 따라서, 공급홀들(333a,333b)을 통해 공급된 반응가스는 기판(S)의 표면을 따라 층류 상태(larminar flow)로 원활하게 흐를 수 있으며, 기판(S)이 가열된 상태에서 기판(S) 상에 에피택셜 층이 형성될 수 있다. 공급라인(332a)은 밸브(332b)를 통해 개폐될 수 있다.
한편, 제1 공급관(332a)은 증착용 가스(실리콘 가스(예를 들어, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, Si2H6, 또는 SiH4) 및 캐리어 가스(예를 들어, N2 및/또는 H2))를 공급하며, 제2 공급관(332b)은 에칭용 가스를 공급할 수 있다. 선택적 에피택시 프로세스(selective epitaxy process)는 증착 반응 및 에칭 반응을 수반한다. 본 실시예에서는 도시하지 않았지만, 에피택셜 층이 도펀트를 포함할 것이 요구되는 경우, 제3 공급관이 추가될 수 있으며, 제3 공급관은 도펀트 함유 가스(예를 들면, 아르신(AsH3), 포스핀(PH3), 및/또는 디보란(B2H6))를 공급할 수 있다.
배기관(334)은 배기라인(335a)에 연결되며, 배기펌프(335)를 통해 반응튜브(314) 내부의 반응부산물을 외부로 배기할 수 있다. 배기관(334)은 복수의 배기홀들을 가지며, 배기홀들은 공급홀들(333a,333b)과 마찬가지로 기판(S)의 사이에 대응되도록 위치하거나 기판(S)과 무관하게 위치할 수 있다. 밸브(334b)는 배기라인(334a)을 개폐한다.
본 발명을 바람직한 실시예들을 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예들에 한정되지 않는다.
본 발명은 다양한 형태의 반도체 제조설비 및 제조방법에 응용될 수 있다.

Claims (7)

  1. 기판에 대한 세정 공정이 이루어지는 세정 챔버;
    상기 기판 상에 에피택셜 층을 형성하는 에피택셜 공정이 이루어지는 에피택셜 챔버;
    상기 기판을 적재하는 적재공간을 구비하는 버퍼 챔버; 및
    상기 세정 챔버 및 상기 버퍼 챔버, 그리고 상기 에피택셜 챔버가 측면에 연결되며, 상기 세정 챔버 및 상기 버퍼 챔버, 그리고 상기 에피택셜 챔버 사이에서 상기 기판을 이송하는 기판 핸들러를 구비하는 이송 챔버를 포함하며,
    상기 기판 핸들러는 상기 세정 공정이 완료된 상기 기판을 상기 버퍼 챔버에 순차적으로 이송하고 상기 버퍼 챔버에 적재된 상기 기판을 상기 에피택셜 챔버로 이송하며, 상기 에피택셜 층이 형성된 상기 기판을 상기 버퍼 챔버에 순차적으로 이송하는 것을 특징으로 하는 반도체 제조설비.
  2. 제1항에 있어서,
    상기 적재공간은 상기 세정 공정이 완료된 상기 기판이 적재되는 제1 적재공간과 상기 에피택셜 층이 형성된 상기 기판이 적재되는 제2 적재공간을 구비하는 것을 특징으로 하는 반도체 제조설비.
  3. 제1항에 있어서,
    상기 이송 챔버는 상기 버퍼 챔버를 향해 상기 기판이 출입하는 이송 통로를 가지고, 상기 버퍼 챔버는 상기 기판이 출입하는 버퍼 통로를 가지며,
    상기 반도체 제조설비는 상기 버퍼 챔버와 상기 이송 챔버를 격리하는 버퍼측 게이트 밸브를 더 포함하는 것을 특징으로 하는 반도체 제조설비.
  4. 제2항에 있어서,
    상기 버퍼 챔버는 상기 기판이 적재되는 기판 홀더를 구비하며,
    상기 기판 홀더는 상기 제1 및 제2 적재공간을 구비하는 것을 특징으로 하는 반도체 제조설비.
  5. 제4항에 있어서,
    상기 버퍼 챔버는,
    상기 기판이 출입하는 버퍼 통로;
    상기 기판 홀더에 연결되어 상기 기판 홀더와 함께 승강하는 승강축; 및
    상기 승강축을 구동하여 상기 제1 및 제2 적재공간을 상기 버퍼 통로와 대응되도록 이동가능한 엘리베이터를 가지는 것을 특징으로 하는 반도체 제조설비.
  6. 제1항에 있어서,
    상기 버퍼 챔버는 상기 버퍼 챔버 내부를 배기하여 상기 버퍼 챔버의 내부를 진공 상태로 유지하는 배기라인을 구비하는 것을 특징으로 하는 반도체 제조설비.
  7. 제1항에 있어서,
    상기 에피택셜 공정은 복수의 기판들에 대하여 이루어지는 배치 타입인 것을 특징으로 하는 반도체 제조설비.
PCT/KR2012/006103 2011-08-02 2012-07-31 에피택셜 공정을 위한 반도체 제조설비 WO2013019061A2 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201280037955.3A CN103733309B (zh) 2011-08-02 2012-07-31 用于外延工艺的半导体制造设备
US14/235,901 US20140209024A1 (en) 2011-08-02 2012-07-31 Equipment for manufacturing semiconductor
JP2014523840A JP5844899B2 (ja) 2011-08-02 2012-07-31 エピタキシャルプロセスのための半導体製造設備

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2011-0077099 2011-08-02
KR1020110077099A KR101271246B1 (ko) 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비

Publications (2)

Publication Number Publication Date
WO2013019061A2 true WO2013019061A2 (ko) 2013-02-07
WO2013019061A3 WO2013019061A3 (ko) 2013-04-04

Family

ID=47629796

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2012/006103 WO2013019061A2 (ko) 2011-08-02 2012-07-31 에피택셜 공정을 위한 반도체 제조설비

Country Status (6)

Country Link
US (1) US20140209024A1 (ko)
JP (1) JP5844899B2 (ko)
KR (1) KR101271246B1 (ko)
CN (1) CN103733309B (ko)
TW (1) TWI493641B (ko)
WO (1) WO2013019061A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180105933A1 (en) * 2015-04-21 2018-04-19 Eugene Technology Co., Ltd. Substrate processing apparatus and method for cleaning chamber

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9214357B1 (en) * 2014-08-06 2015-12-15 Psk Inc. Substrate treating apparatus and method
KR101685095B1 (ko) * 2015-04-16 2016-12-09 주식회사 유진테크 기판 버퍼링 장치, 기판처리설비, 및 기판처리방법
KR101760316B1 (ko) * 2015-09-11 2017-07-21 주식회사 유진테크 기판처리장치
KR101685096B1 (ko) 2015-11-17 2016-12-12 주식회사 유진테크 기판처리장치 및 이를 이용한 기판처리방법
JP6795675B2 (ja) 2017-02-17 2020-12-02 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
TWI676089B (zh) * 2017-06-23 2019-11-01 美商應用材料股份有限公司 側儲存倉、電子裝置處理系統、和處理基板的方法
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
WO2021044622A1 (ja) * 2019-09-06 2021-03-11 キヤノンアネルバ株式会社 ロードロック装置
US11996307B2 (en) * 2020-12-23 2024-05-28 Applied Materials, Inc. Semiconductor processing tool platform configuration with reduced footprint

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020029190A (ko) * 2000-10-12 2002-04-18 오길록 수직형 초고진공 화학증착장치
KR100790789B1 (ko) * 2006-07-03 2008-01-02 코닉시스템 주식회사 반도체 공정장치
KR20090006178A (ko) * 2006-04-07 2009-01-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
KR20100030052A (ko) * 2008-09-09 2010-03-18 세메스 주식회사 반도체소자 제조를 위한 멀티챔버 시스템 및 그 시스템에서의 기판 처리 방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
JP3122883B2 (ja) * 1989-10-18 2001-01-09 東芝機械株式会社 気相成長装置
JP3200460B2 (ja) * 1992-02-07 2001-08-20 東京エレクトロン株式会社 成膜処理装置
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH09295890A (ja) * 1996-04-26 1997-11-18 Mitsubishi Chem Corp 半導体製造装置および半導体製造方法
IT1308606B1 (it) * 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
US8602716B2 (en) * 2003-11-10 2013-12-10 Brooks Automation, Inc. Semiconductor manufacturing process modules
DE102004024207B4 (de) * 2004-05-10 2016-03-24 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik Verfahren und Vorrichtung zur Niedertemperaturepitaxie auf einer Vielzahl von Halbleitersubstraten
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP2008235315A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
KR20090124118A (ko) * 2008-05-29 2009-12-03 주식회사 뉴파워 프라즈마 기판 처리 시스템
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
KR101458195B1 (ko) * 2009-09-25 2014-11-05 주식회사 티지오테크 배치식 에피택셜층 형성장치 및 그 형성방법
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020029190A (ko) * 2000-10-12 2002-04-18 오길록 수직형 초고진공 화학증착장치
KR20090006178A (ko) * 2006-04-07 2009-01-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
KR100790789B1 (ko) * 2006-07-03 2008-01-02 코닉시스템 주식회사 반도체 공정장치
KR20100030052A (ko) * 2008-09-09 2010-03-18 세메스 주식회사 반도체소자 제조를 위한 멀티챔버 시스템 및 그 시스템에서의 기판 처리 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180105933A1 (en) * 2015-04-21 2018-04-19 Eugene Technology Co., Ltd. Substrate processing apparatus and method for cleaning chamber

Also Published As

Publication number Publication date
CN103733309A (zh) 2014-04-16
JP5844899B2 (ja) 2016-01-20
CN103733309B (zh) 2016-05-25
KR101271246B1 (ko) 2013-06-07
TWI493641B (zh) 2015-07-21
JP2014524657A (ja) 2014-09-22
WO2013019061A3 (ko) 2013-04-04
US20140209024A1 (en) 2014-07-31
TW201316429A (zh) 2013-04-16
KR20130015221A (ko) 2013-02-13

Similar Documents

Publication Publication Date Title
WO2013019064A2 (ko) 에피택셜 공정을 위한 반도체 제조설비
WO2013019063A2 (ko) 에피택셜 공정을 위한 반도체 제조설비
WO2013019062A2 (ko) 에피택셜 공정을 위한 반도체 제조설비
WO2013019061A2 (ko) 에피택셜 공정을 위한 반도체 제조설비
WO2013073886A1 (ko) 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
WO2013073889A1 (ko) 보조가스공급포트를 포함하는 기판 처리 장치
WO2013073888A1 (ko) 열차단플레이트를 포함하는 기판 처리 장치
WO2013073887A1 (ko) 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
WO2013103194A1 (ko) 처리유닛을 포함하는 기판 처리 장치
TWI579947B (zh) 處理基板的設備
US10796915B2 (en) Method for forming epitaxial layer at low temperature
WO2019013524A1 (ko) 기판 처리 장치

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12820412

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 14235901

Country of ref document: US

ENP Entry into the national phase

Ref document number: 2014523840

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12820412

Country of ref document: EP

Kind code of ref document: A2