WO2013073886A1 - 위상차를 갖는 반응가스를 공급하는 기판 처리 장치 - Google Patents

위상차를 갖는 반응가스를 공급하는 기판 처리 장치 Download PDF

Info

Publication number
WO2013073886A1
WO2013073886A1 PCT/KR2012/009723 KR2012009723W WO2013073886A1 WO 2013073886 A1 WO2013073886 A1 WO 2013073886A1 KR 2012009723 W KR2012009723 W KR 2012009723W WO 2013073886 A1 WO2013073886 A1 WO 2013073886A1
Authority
WO
WIPO (PCT)
Prior art keywords
supply
substrate
reaction
gas
nozzles
Prior art date
Application number
PCT/KR2012/009723
Other languages
English (en)
French (fr)
Inventor
양일광
제성태
송병규
김용기
김경훈
신양식
Original Assignee
주식회사 유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유진테크 filed Critical 주식회사 유진테크
Priority to US14/357,628 priority Critical patent/US9620395B2/en
Priority to JP2014542244A priority patent/JP5919388B2/ja
Priority to CN201280056552.3A priority patent/CN103959438B/zh
Publication of WO2013073886A1 publication Critical patent/WO2013073886A1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning

Definitions

  • the present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus capable of supplying a reaction gas having a phase difference according to height.
  • a selective epitaxy process involves deposition reactions and etching reactions. Deposition and etching reactions occur simultaneously at relatively different reaction rates for the polycrystalline and epitaxial layers.
  • an epitaxial layer is formed on the single crystal surface while the existing polycrystalline and / or amorphous layer is deposited on at least one second layer.
  • the deposited polycrystalline layer is generally etched at a faster rate than the epitaxial layer.
  • a net selective process results in the deposition of epitaxy material and the deposition of limited or unrestricted polycrystalline material.
  • a selective epitaxy process can result in the formation of an epilayer of silicon containing material on the single crystal silicon surface without deposits remaining on the spacers.
  • Selective epitaxy processes generally have some disadvantages. To maintain selectivity during this epitaxy process, the chemical concentration and reaction temperature of the precursor must be adjusted and adjusted throughout the deposition process. If not enough silicon precursor is supplied, the etching reaction is activated, which slows down the overall process. In addition, harm can occur to the etching of substrate features. If not enough corrosion precursor is supplied, the deposition reaction may reduce the selectivity of forming single and polycrystalline materials across the substrate surface. In addition, conventional selective epitaxy processes generally require high reaction temperatures, such as about 800 ° C., about 1,000 ° C., or higher. Such high temperatures are undesirable during the manufacturing process due to possible uncontrolled nitriding reactions and thermal budgets on the substrate surface.
  • An object of the present invention is to provide a substrate processing apparatus capable of forming a uniform flow of reaction gas in the process space.
  • Another object of the present invention is to provide a substrate processing apparatus capable of forming a flow of reaction gas having a phase difference according to height.
  • Still another object of the present invention is to provide a substrate processing apparatus capable of intensively providing a reaction gas to a substrate.
  • a substrate processing apparatus in which a process is performed on a substrate may include: a lower chamber in which an upper portion thereof is opened and a passage through which the substrate enters and exits is formed; An external reaction tube closing the open upper portion of the lower chamber and providing a process space in which the process is performed; A substrate holder in which at least one substrate is stacked in a vertical direction, the substrate holder being switchable to a loading position at which the substrate is loaded in the substrate holder and a process position at which the process is performed on the substrate; And a gas supply unit installed inside the external reaction tube to supply a reaction gas toward the process space and to form a flow of the reaction gas having different phase differences in the vertical direction.
  • the gas supply unit includes: a plurality of supply nozzles disposed along an inner wall of the external reaction tube and disposed at different heights to discharge the reaction gas; A plurality of supply pipes connected to the supply nozzles to supply the reaction gas to the supply nozzles, respectively; A plurality of exhaust nozzles disposed along an inner wall of the outer reaction tube and disposed at different heights to suck unreacted gas and reaction byproducts in the process space; And a plurality of exhaust pipes connected to the exhaust nozzles, respectively, through which the unreacted gas and the reaction by-products sucked through the exhaust nozzles respectively pass.
  • the supply nozzles and the exhaust nozzles may be arranged to correspond to the positions of the substrates loaded on the substrate holder when the substrate holder is in the process position.
  • Each of the supply nozzles is a circular tube having a supply hole of a circular cross section through which the reaction gas is discharged, and each of the exhaust nozzles is formed at an end with an inner space having a reduced cross-sectional area along a suction direction, and the unreacted gas and It has an exhaust port of the slot-shaped cross-section to suck the reaction by-product, the center of each of the supply port and the center of the exhaust port for the same height can be arranged to be symmetrical with each other.
  • Each of the supply nozzles has an internal space in which the cross-sectional area increases along the discharge direction, and a supply port of a slot-shaped cross section formed at a tip thereof to discharge the reaction gas, and each of the exhaust nozzles has a reduced cross-sectional area along the suction direction. And an inner space formed at the front end, and having an exhaust port of a slot-shaped cross section which sucks the unreacted gas and the reaction by-product, and the center of each of the supply ports and the center of the exhaust port are symmetrical with respect to the same height. Can be.
  • Each of the supply nozzles has an internal space having an increased cross-sectional area along a discharge direction, a supply port of a slot-shaped cross section formed at a tip thereof, and having the reaction gas discharged therein, and a plurality of injection holes provided on the supply port.
  • Each exhaust nozzle has an internal space having a reduced cross-sectional area along the suction direction, and an exhaust port of a slot-shaped cross-section formed at the front end to suck the unreacted gas and the reaction by-product, with respect to the same height
  • the center of each supply port and the center of the exhaust port may be arranged to be symmetrical to each other.
  • the gas supply unit may further include a plurality of supply lines connected to the supply nozzles to supply the reaction gas to the supply nozzles, respectively.
  • the substrate processing apparatus may further include a support flange installed between the lower chamber and the external reaction tube, and the supply lines may be connected to the supply nozzles through the support flange, respectively.
  • the substrate processing apparatus further includes an inner reaction tube disposed inside the outer reaction tube and disposed around the substrate holder placed at the process position to define a reaction region for the substrate, wherein each of the supply A sphere and the exhaust port may be located inside the inner reaction tube.
  • the substrate processing apparatus may further include a thermocouple installed in the outer reaction tube and disposed in a vertical direction.
  • the substrate processing apparatus may further include a rotating shaft connected to the substrate holder and rotating in a predetermined direction during the process.
  • the flow of the reaction gas may be uniformly formed in the process space.
  • the reaction gas may be provided intensively with respect to the substrate.
  • FIG. 1 is a view schematically showing a semiconductor manufacturing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a view showing a substrate processed according to an embodiment of the present invention.
  • FIG. 3 is a flow diagram illustrating a method of forming an epitaxial layer in accordance with one embodiment of the present invention.
  • FIG. 4 is a diagram schematically showing the epitaxial device shown in FIG. 1.
  • FIG. 5 is a cross-sectional view illustrating the lower chamber and the substrate holder illustrated in FIG. 1.
  • FIG. 6 is a cross-sectional view schematically illustrating the external reaction tube, the internal reaction tube, the supply nozzles, and the exhaust nozzles shown in FIG. 1.
  • FIG. 7 is a cross-sectional view illustrating an arrangement of supply nozzles and an arrangement of thermocouples illustrated in FIG. 1.
  • FIG. 8 is a cross-sectional view illustrating an arrangement of exhaust nozzles and an arrangement of thermocouples illustrated in FIG. 1.
  • FIG. 9 is a view illustrating supply lines respectively connected to the supply nozzles shown in FIG. 1.
  • FIG. 10 is a view showing the flow of the reaction gas in the inner reaction tube shown in FIG.
  • FIG. 11 is a view showing a state in which the substrate holder shown in FIG. 1 is switched to a process position.
  • FIG. 12 is a perspective view schematically showing a modified embodiment of the supply nozzles shown in FIG.
  • FIG. 13 is a perspective view illustrating the supply nozzle shown in FIG. 12.
  • FIG. 14 is a cross-sectional view illustrating the supply nozzle shown in FIG. 12.
  • FIG. 15 is a view illustrating a flow of a reaction gas through the supply nozzles and the exhaust nozzles shown in FIG. 12.
  • FIG. 16 is a perspective view schematically showing a modified embodiment of the supply nozzle shown in FIG. 13.
  • FIG. 17 is a cross-sectional view illustrating the supply nozzle shown in FIG. 16.
  • the epitaxial process will be described as an example, but the present invention can be applied to various semiconductor manufacturing processes including the epitaxial process.
  • the semiconductor manufacturing facility 1 includes a process facility 2, an Equipment Front End Module (EFEM) 3, and an interface wall 4.
  • the facility front end module 3 is mounted in front of the process facility 2 to transfer the wafer W between the vessel (not shown) containing the substrates S and the process facility 2.
  • the facility front end module 3 has a plurality of loadports 60 and a frame 50.
  • the frame 50 is located between the load port 60 and the process equipment 2.
  • the container containing the substrate S is placed on the load port 60 by a transfer means (not shown), such as an overhead transfer, an overhead conveyor, or an automatic guided vehicle. Is placed on.
  • the container may be a closed container such as a front open unified pod (FOUP).
  • a frame robot 70 for transferring the substrate S between the vessel placed in the load port 60 and the process facility 2 is installed.
  • a door opener (not shown) for automatically opening and closing the door of the container may be installed.
  • the frame 50 may be provided with a fan filter unit (FFU) (not shown) for supplying clean air into the frame 50 so that clean air flows from the top to the bottom in the frame 50. .
  • FFU fan filter unit
  • the substrate S is subjected to a predetermined process in the process facility 2.
  • the process facility 2 includes a transfer chamber 102, a loadlock chamber 106, cleaning chambers 108a and 108b, a buffer chamber 110, and An epitaxial chamber (or epitaxial device) 112a, 112b, 112c.
  • the transfer chamber 102 has a generally polygonal shape when viewed from the top, and includes a load lock chamber 106, cleaning chambers 108a and 108b, a buffer chamber 110, and epitaxial chambers 112a, 112b and 112c. Is installed on the side of the transfer chamber 102.
  • the loadlock chamber 106 is located on the side adjacent to the facility front end module 3 of the sides of the transfer chamber 102.
  • the substrate S is temporarily stayed in the load lock chamber 106 and then loaded into the process equipment 2 to perform a process. After the process is completed, the substrate S is unloaded from the process equipment 2 to load the chamber. Temporarily stay within 106.
  • the transfer chamber 102, the cleaning chambers 108a, 108b, the buffer chamber 110, and the epitaxial chambers 112a, 112b, 112c are maintained in vacuum, and the loadlock chamber 106 is switched to vacuum and atmospheric pressure. .
  • the loadlock chamber 106 prevents foreign contaminants from entering the transfer chamber 102, the cleaning chambers 108a, 108b, the buffer chamber 110, and the epitaxial chambers 112a, 112b, 112c. In addition, since the substrate S is not exposed to the atmosphere during the transfer of the substrate S, it is possible to prevent the oxide film from growing on the substrate S.
  • FIG. 1 A block diagram illustrating an exemplary computing environment in accordance with the present disclosure.
  • a gate valve (not shown) is installed between the load lock chamber 106 and the transfer chamber 102 and between the load lock chamber 106 and the facility front end module 3.
  • the gate valve provided between the load lock chamber 106 and the transfer chamber 102 is closed and the load lock chamber 106 is closed.
  • the gate valve provided between the load lock chamber 106 and the facility front end module 3 is closed.
  • the transfer chamber 102 has a substrate handler 104.
  • the substrate handler 104 transfers the substrate S between the loadlock chamber 106, the cleaning chambers 108a and 108b, the buffer chamber 110, and the epitaxial chambers 112a, 112b and 112c.
  • the transfer chamber 102 is sealed to maintain a vacuum as the substrate S moves. Maintaining the vacuum is to prevent the substrate S from being exposed to contaminants (eg, O 2, particulate matter, etc.).
  • Epitaxial chambers 112a, 112b and 112c are provided to form an epitaxial layer on the substrate S. As shown in FIG. In this embodiment, three epitaxial chambers 112a, 112b, 112c are provided. Since the epitaxial process takes more time than the cleaning process, it is possible to improve the manufacturing yield through a plurality of epitaxial chambers. Unlike the present embodiment, four or more or two or less epitaxial chambers may be provided.
  • the cleaning chambers 108a and 108b are provided for cleaning the substrate S before the epitaxial process for the substrate S is performed in the epitaxial chambers 112a, 112b and 112c.
  • the amount of oxide present on the crystalline substrate must be minimized. If the surface oxygen content of the substrate is too high, the epitaxial process is adversely affected since oxygen atoms interfere with the crystallographic placement of the deposition material on the seed substrate. For example, during silicon epitaxial deposition, excess oxygen on the crystalline substrate may cause silicon atoms to be displaced from their epitaxial position by clusters of oxygen atoms in atomic units. This local atomic displacement can cause errors in subsequent atomic arrangements as the layer grows thicker.
  • Oxygenation of the substrate surface may occur, for example, when the substrate is exposed to the atmosphere when transported. Therefore, a cleaning process for removing a native oxide (or surface oxide) formed on the substrate S may be performed in the cleaning chambers 108a and 108b.
  • the cleaning process is a dry etching process using hydrogen (H * ) and NF 3 gas in the radical state.
  • H * hydrogen
  • NF 3 gas NF 3 gas
  • a reactive gas such as radical (H * ) of hydrogen gas and a fluoride gas (for example, nitrogen fluoride (NF 3 ))
  • a fluoride gas for example, nitrogen fluoride (NF 3 )
  • H * radical
  • NF 3 nitrogen fluoride
  • An intermediate product is produced, such as x F y (x, y being any integer).
  • the intermediate product is highly reactive with the silicon oxide film (SiO 2 ), when the intermediate product reaches the surface of the silicon substrate, the intermediate product selectively reacts with the silicon oxide film to react with the reaction product ((NH 4 ) 2 SiF 6 ) Is generated.
  • the reaction product is pyrolyzed to form a pyrolysis gas and evaporates as shown in the following Reaction Formula (3), and as a result, the silicon oxide film can be removed from the surface of the substrate.
  • the pyrolysis gas includes a gas containing fluorine, such as HF gas or SiF 4 gas.
  • the cleaning process includes a reaction process for producing a reaction product and a heating process for pyrolyzing the reaction product, and the reaction process and the heating process are performed together in the cleaning chambers 108a and 108b or the cleaning chambers 108a and 108b.
  • the reaction process may be carried out in any one of the C) and the heating process may be performed in the other one of the cleaning chambers 108a and 108b.
  • the buffer chamber 110 provides a space in which the substrate S on which the cleaning process is completed is loaded and a space in which the substrate S in which the epitaxial process is performed is loaded.
  • the substrate S moves to the buffer chamber 110 and is loaded into the buffer chamber 110 before being transferred to the epitaxial chambers 112a, 112b and 112c.
  • the epitaxial chambers 112a, 112b and 112c may be batch types in which a single process for a plurality of substrates is performed.
  • the substrate S having the epitaxial process is sequentially loaded in the buffer chamber 110, and the substrate S having the cleaning process completed is sequentially loaded in the epitaxial chambers 112a, 112b and 112c.
  • the substrate S may be loaded in the buffer chamber 110 in the longitudinal direction.
  • FIG. 2 is a view showing a substrate processed according to an embodiment of the present invention.
  • the cleaning process for the substrate S is performed in the cleaning chambers 108a and 108b before the epitaxial process for the substrate S is performed, and the surface of the substrate 70 is cleaned through the cleaning process.
  • the oxide film 72 formed on it can be removed.
  • the oxide film may be removed through a cleaning process in the cleaning chambers 108a and 108b.
  • An epitaxial surface 74 may be exposed on the surface of the substrate 70 through a cleaning process, thereby helping to grow the epitaxial layer.
  • an epitaxial process is performed on the substrate S in the epitaxial chambers 112a, 112b, and 112c.
  • the epitaxial process can be accomplished by chemical vapor deposition and can form the epitaxy layer 76 on the epitaxy surface 74.
  • the epitaxial surface 74 of the substrate 70 includes a reaction comprising silicon gas (eg, SiCl 4, SiHCl 3, SiH 2 Cl 2, SiH 3 Cl, Si 2 H 6, or SiH 4) and a carrier gas (eg, N 2 and / or H 2). May be exposed to gas.
  • the silicon containing gas may be a dopant containing gas (eg, arsine (AsH 3 ), phosphine (PH 3 ), and / or diborane ( B 2 H 6 )).
  • a dopant containing gas eg, arsine (AsH 3 ), phosphine (PH 3 ), and / or diborane ( B 2 H 6 )
  • step S20 the substrate S moves to the cleaning chambers 108a, 108b before the epitaxial process, and the substrate handler 104 transfers the substrate S to the cleaning chambers 108a, 108b.
  • the transfer is through a transfer chamber 102 which is maintained in vacuum.
  • step S30 a cleaning process for the substrate S is performed.
  • the cleaning process includes a reaction process for producing a reaction product and a heating process for pyrolyzing the reaction product.
  • the reaction process and the heating process may be performed together in the cleaning chambers 108a and 108b, or the reaction process may be performed in one of the cleaning chambers 108a and 108b and the heating process may be performed in the other of the cleaning chambers 108a and 108b. Can be.
  • step S40 the substrate S having the cleaning process completed is transferred to the buffer chamber 110, loaded in the buffer chamber 110, and waits for an epitaxial process in the buffer chamber 110.
  • step S50 the substrate S is transferred to the epitaxial chambers 112a, 112b, 112c, and the transfer is performed through the transfer chamber 102 maintained in vacuum.
  • An epitaxial layer may be formed on the substrate S in step S60.
  • the substrate S is transferred to the buffer chamber 110 again in step S70 and loaded into the buffer chamber 110, and the process ends in step S80.
  • FIG. 4 is a view schematically showing the epitaxial device shown in FIG. 1
  • FIG. 5 is a cross-sectional view showing the lower chamber and the substrate holder shown in FIG. 1.
  • the epitaxial device (or epitaxial chamber) includes a lower chamber 312b having an open top shape, and the lower chamber 312b is connected to the transfer chamber 102.
  • the lower chamber 312b has a passage 319 connected to the transfer chamber 102, and the substrate S may be loaded from the transfer chamber 102 into the lower chamber 312b through the passage 319.
  • the gate valve (not shown) is installed outside the passage 319, and the passage 319 may be opened and closed by the gate valve.
  • the epitaxial device includes a substrate holder 328 on which a plurality of substrates S are loaded, and the substrates S are loaded on the substrate holder 328 in a vertical direction.
  • the substrate holder 328 may load 15 substrates S. While the substrate holder 328 is located in a loading space provided inside the lower chamber 312b (or 'loading position'), the substrate S may be loaded in the substrate holder 328.
  • the substrate holder 328 is liftable, and when the substrate S is loaded on the slot of the substrate holder 328, the substrate holder 328 is raised to raise the substrate on the next slot of the substrate holder 328. (S) can be loaded.
  • the substrate holder 328 moves into the interior of the external reaction tube 312a (or 'process position'), and epitaxially processes the interior of the external reaction tube 312a. This is going on.
  • the heat blocking plate 316 is installed below the substrate holder 328, and is elevated together with the substrate holder 328. When the substrate holder 328 is switched to the process position, as shown in FIG. 11, the heat blocking plate 316 closes the open lower portion of the internal reaction tube 314.
  • the heat blocking plate 316 may be made of ceramic, quartz, or a metal coated ceramic, and prevents heat in the reaction zone from moving to the loading space during the process. Some of the reaction gas supplied in the reaction zone may move to the loading space through the open lower portion of the internal reaction tube 314. In this case, if the loading space is above a certain temperature, some of the reaction gas may be deposited on the inner wall of the loading space. Can be. Therefore, it is necessary to prevent the loading space from being heated through the heat shield plate 316, and thus, the reaction gas may be prevented from being deposited on the inner wall of the loading space.
  • the lower chamber 312b has an exhaust port 344, an auxiliary exhaust port 328a, and an auxiliary gas supply port 362.
  • the exhaust port 344 has a 'b' shape, and the exhaust nozzle unit 334 described later is connected to the first exhaust line 342 through the exhaust port 344.
  • the auxiliary exhaust port 328a is connected to the auxiliary exhaust line 328b, and the loading space inside the lower chamber 312b can be exhausted through the auxiliary exhaust port 328a.
  • the auxiliary gas supply port 362 is connected to the auxiliary gas supply line (not shown), and supplies the gas supplied through the auxiliary gas supply line into the loading space.
  • inert gas may be supplied into the loading space through the auxiliary gas supply port 362.
  • the pressure in the loading space may be set to be slightly higher than the pressure in the process space.
  • the reaction gas in the processing space cannot move to the loading space.
  • the external reaction tube 312a closes the upper portion of the lower chamber 312b with the upper portion open, and provides a process space in which an epitaxial process is performed.
  • the support flange 442 is installed between the lower chamber 312b and the external reaction tube 312a, and the external reaction tube 312 is installed on the upper portion of the support flange 442.
  • the loading space of the lower chamber 312b and the process space of the external reaction tube 312a communicate with each other through an opening formed in the center of the support flange 442. As described above, all of the substrates on the substrate holder 328 When loaded, the substrate holder 328 may move to the process space of the external reaction tube 312a.
  • the internal reaction tube 314 is installed inside the external reaction tube 312a, and the internal reaction tube 314 provides a reaction zone for the substrate S.
  • the inside of the external reaction tube 312a is divided into a reaction zone and a non-reaction zone by the internal reaction tube 314, and the reaction zone is located inside the internal reaction tube 314, and the non-reaction zone is the internal reaction tube 314.
  • the substrate holder 328 is located in the reaction zone at the time of switching to the process position, and the reaction zone has a smaller volume than the process space. Therefore, the supply of the reaction gas may be minimized when supplied into the reaction region, and the reaction gas may be concentrated on the substrate S loaded in the substrate holder 328.
  • the inner reaction tube 314 is open at the top and the lower part is opened, and the substrate holder 328 moves to the reaction region through the lower part of the inner reaction tube 314.
  • the side heater 324 and the upper heater 326 are disposed to surround the external reaction tube 312a.
  • the side heater 324 and the upper heater 326 heat the process space inside the external reaction tube 312a, through which the process space (or reaction zone) can reach a temperature at which epitaxial processing is possible.
  • the side heater 324 and the upper heater 326 are connected to the upper elevating rod 337 through the support frame 327, the support frame 327 as the upper elevating rod 337 is rotated by the elevating motor 338 ) Can go up and down.
  • the epitaxial device further includes a gas supply unit, and the gas supply unit includes a supply nozzle unit 332 and an exhaust nozzle unit 334.
  • the supply nozzle unit 332 includes a plurality of supply pipes 332a and a plurality of supply nozzles 332b, and the supply nozzles 332b are connected to the supply pipes 332a, respectively.
  • Each of the supply nozzles 332b has a circular tube shape, and the supply port 332c is located at the tip of the supply nozzle 332b so that the reaction gas is discharged through the supply port 332c.
  • the supply port 332c has a circular cross section, and as shown in FIG. 6, the supply nozzles 332b are arranged so that the heights of the supply ports 332c are different from each other.
  • the supply pipes 332a and the supply nozzles 332b are located inside the external reaction tube 312a.
  • the supply pipes 332a extend up and down, and the supply nozzles 332b are disposed substantially perpendicular to the supply pipes 332a, respectively.
  • the supply ports 332c are located inside the internal reaction tube 314, whereby the reaction gas discharged through the supply ports 332c may be concentrated in the reaction region inside the internal reaction tube 314.
  • the internal reaction tube 314 has a plurality of through holes 374, and the supply holes 332c of the supply nozzles 332b are disposed inside the internal reaction tube 314 through the through holes 374, respectively. Can be.
  • FIG. 7 is a cross-sectional view illustrating an arrangement of supply nozzles and an arrangement of thermocouples illustrated in FIG. 1.
  • the supply nozzles 332b each have supply holes 332c having a circular cross section.
  • the supply holes 332c of the supply nozzles 332b are disposed circumferentially along the inner wall of the inner reaction tube 314, and are positioned at different heights.
  • the supply nozzles 332b respectively spray the reaction gas toward the substrates S placed on the substrate holder 328.
  • the heights of the supply holes 332c generally coincide with the heights of the respective substrates S.
  • FIG. As shown in FIG. 6, the supply nozzles 332b are connected to the reaction gas source (not shown) through the supply lines 342 formed in the support flange 442.
  • the reactant gas source may be a deposition gas (silicon gas (eg, SiCl 4 , SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 H 6 , or SiH 4 ) and a carrier gas (eg, N 2 and And / or H 2 )) or a gas for etching.
  • a deposition gas silicon gas (eg, SiCl 4 , SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 H 6 , or SiH 4 ) and a carrier gas (eg, N 2 and And / or H 2 )) or a gas for etching.
  • the exhaust nozzle unit 334 includes a plurality of exhaust pipes 334a and a plurality of exhaust nozzles 334b, and the exhaust nozzles 334b are connected to the exhaust pipes 334a, respectively. do.
  • the exhaust port 334c is positioned at the tip of the exhaust nozzles 334b to suck unreacted gas and reaction by-products.
  • the exhaust port 334c has a slotted cross section, and as shown in FIG. 6, the exhaust nozzles 334b are arranged so that the heights of the exhaust ports 334c are different from each other.
  • the exhaust pipes 334a and the exhaust nozzles 334b are located inside the external reaction tube 312a.
  • the exhaust pipes 334a extend up and down, and the exhaust nozzles 334b are disposed substantially perpendicular to the exhaust pipes 334a, respectively.
  • the exhaust ports 334c are located inside the internal reaction tube 314, and thus, the exhaust ports 334c may effectively suck unreacted gas and reaction by-products from the reaction zone inside the internal reaction tube 314. have.
  • the internal reaction tube 314 has a plurality of through holes 376, and the exhaust ports 334c of the exhaust nozzles 334b are disposed inside the internal reaction tube 314 through the through holes 376, respectively. Can be.
  • FIG. 8 is a cross-sectional view illustrating an arrangement of exhaust nozzles and an arrangement of thermocouples illustrated in FIG. 1.
  • the exhaust nozzles 334b each have exhaust ports 334c having a slotted cross section.
  • the exhaust ports 334c of the exhaust nozzles 334b are disposed circumferentially along the inner wall of the inner reaction tube 314, and are located at different heights.
  • the supply nozzles 332b spray the reaction gas toward the substrates S placed on the substrate holder 328, respectively, in the internal reaction tube 314. Unreacted gases and by-products are generated.
  • the exhaust nozzles 334b suck unreacted gas and reaction byproducts and discharge them to the outside.
  • the heights of the exhaust ports 334c generally coincide with the heights of the respective substrates S.
  • FIG. 4 the exhaust nozzles 334b are connected to the first exhaust line 342 through an exhaust port 344 formed in the lower chamber 312b, and the unreacted gas and the reaction byproducts are first exhausted. Exhaust through line 342.
  • the on-off valve 346 is installed on the first exhaust line 342 to open and close the first exhaust line 342, and the turbo pump 348 is installed on the first exhaust line 342 to provide the first exhaust line ( 342) forcibly discharge the unreacted gas and the reaction by-products.
  • the first exhaust line 342 is connected to the second exhaust line 352, and the unreacted gas and the reaction by-products moving along the first exhaust line 342 are discharged through the second exhaust line 352.
  • the auxiliary exhaust port 328a is formed in the lower chamber 312b, and the auxiliary exhaust line 328b is connected to the auxiliary exhaust port 328a.
  • the auxiliary exhaust line 328b is connected to the second exhaust line 352, and the first and second auxiliary valves 328c and 328d are installed on the auxiliary exhaust line 328b to open and close the auxiliary exhaust line 328b.
  • the auxiliary exhaust line 328b is connected to the first exhaust line 342 through the connection line 343, and the connection valve 343a is installed on the connection line 343 to open and close the connection line 343.
  • thermocouples 382 and 384 are installed between the outer reaction tube 312a and the inner reaction tube 314, and the thermocouples 382 and 384 are disposed in the vertical direction to have a height. Measure the temperature accordingly. Therefore, the operator can determine the temperature in the process space according to the height, and can check in advance the effect of the temperature distribution on the process.
  • FIG. 9 is a view illustrating supply lines respectively connected to the supply nozzles shown in FIG. 1.
  • the supply nozzles 332 are connected to a reaction gas source (not shown) through separate supply lines 342, respectively. Therefore, the reaction gas having a uniform flow rate may be supplied to the reaction region of the internal reaction tube 314 through the plurality of supply nozzles 332. If one supply line 342 is connected to the plurality of supply nozzles 332, the reactant gases may be supplied at different flow rates according to the supply nozzles 332, thereby positioning the substrate on the substrate holder 328. Depending on the process rate may be different.
  • FIG. 10 is a view showing the flow of the reaction gas in the inner reaction tube shown in FIG.
  • the supply holes 332c of the supply nozzles 332b are disposed in the circumferential direction along the inner wall of the inner reaction tube 314 and are located at different heights.
  • the exhaust ports 334c of the exhaust nozzles 334b are disposed circumferentially along the inner wall of the inner reaction tube 314, and are located at different heights. At this time, the center of the supply port 332c and the center of the exhaust port 334c are symmetrical with respect to the same height.
  • the supply port 332c of the supply nozzle 332b and the exhaust port 334c of the exhaust nozzle 334b are located opposite to each other based on the center of the substrate S loaded on the substrate holder 328. Therefore, the reaction gas injected from the supply nozzle 332b flows toward the exhaust nozzle 334b located on the opposite side (indicated by the arrow), thereby allowing sufficient time for the reaction gas to react with the surface of the substrate S. It can be secured. At this time, the unreacted gas and the reaction by-products generated during the process are sucked out through the exhaust nozzle 334b and discharged.
  • the flow of the reaction gas is different depending on the height of the substrate S loaded on the substrate holder 328, and the flow of the reaction gas varies according to the height of the substrate S.
  • FIG. Have That is, since the position of the supply port 332c of the supply nozzle 332b and the position of the exhaust port 334c of the exhaust nozzle 334b have a phase difference depending on the height of the substrate S, the phase of the reaction gas is similarly the substrate. It has a phase difference according to the height of (S). Referring to FIG.
  • reaction gas injected from the supply port exhibits the effect of diffusion by the reaction gas injected from the supply port at different heights. That is, interference may occur between flows of the reaction gas having a phase difference, and thus, the reaction gas may move toward the exhaust nozzle 334b in a state in which the reaction gas is diffused by the interference.
  • the exhaust port 334c of the exhaust nozzle 334b is slot-shaped. Therefore, the reaction gas injected from the supply port 332c of the supply nozzle 332b is diffused to have a constant width according to the shape of the exhaust port 334c (shown in FIG. 10), thereby allowing the reaction gas to form the substrate S. It is possible to increase the area in contact with the surface. Moreover, generation of unreacted gas can be suppressed by inducing sufficient reaction. The reaction gas forms a laminar flow on the substrate S from the supply port 332c to the exhaust port 334c.
  • the substrate holder 328 is connected to the rotating shaft 318, and the rotating shaft 318 is connected to the lifting motor 319a and the rotating motor 319b through the lower chamber 312b. do.
  • the rotary motor 319b is installed on the motor housing 319c, and the rotary motor 319b drives the rotary shaft 318 during the epitaxial process to drive the substrate holder 328 (and the substrate together with the rotary shaft 318).
  • the substrate S may be rotated to prevent such a result and to achieve uniform deposition on the surface of the substrate S.
  • the motor housing 319c is fixed to the bracket 319d, and the bracket 319d is connected to the lifting rod 319e connected to the lower portion of the lower chamber 312b to move up and down along the lifting rod 319e.
  • the bracket 319c is screwed into the lower rod 419, and the lower rod 419 is rotated by the lifting motor 319a. That is, the lower rod 419 is rotated by the lifting motor 319a, so that the bracket 319c and the motor housing 319c can be lifted together.
  • the substrate holder 328 may be switched to a loading position and a process position by the lifting motor 319a.
  • FIG. 11 is a view showing a state in which the substrate holder shown in FIG. 1 is switched to a process position.
  • the heat blocking plate 316 is installed in the lower portion of the substrate holder 328, and as the rotary shaft 318 is raised and lowered together with the substrate holder 328.
  • the heat blocking plate 316 closes the open lower portion of the inner reaction tube 314 to prevent the heat inside the inner reaction tube 314 from moving to the loading space in the lower chamber 312b.
  • the epitaxial process will be described as an example, but the present invention can be applied to various semiconductor manufacturing processes including the epitaxial process.
  • FIG. 12 is a perspective view schematically showing a modified embodiment of the supply nozzles shown in FIG.
  • FIG. 13 is a perspective view illustrating the supply nozzle illustrated in FIG. 12
  • FIG. 14 is a cross-sectional view illustrating the supply nozzle illustrated in FIG. 12.
  • the supply nozzle 332b has an internal space in which the cross-sectional area increases along the discharge direction, and the reaction gas supplied through the supply pipe 332a forms an internal space of the supply nozzle 332b.
  • the supply nozzle 332b has a supply port 332c formed at the tip, and the supply port 332c has a slot-shaped cross section.
  • the cross-sectional area of the supply port 332c generally coincides with the cross-sectional area of the exhaust port 334c.
  • FIG. 15 is a view illustrating a flow of a reaction gas through the supply nozzles and the exhaust nozzles shown in FIG. 12.
  • the reaction gas injected from the supply nozzle 332b flows toward the exhaust nozzle 334b located on the opposite side (indicated by the arrow).
  • the reaction gas is discharged through the supply port 332c in a state in which the reaction gas is diffused through the internal space of the supply nozzle 332b and then sucked through the exhaust port 334c of the exhaust nozzle 334b.
  • a laminar flow is formed having a constant width (roughly coinciding with the cross-sectional area of the supply port 332c and the cross-sectional area of the exhaust port 334c) from 332c to the exhaust port 334c.
  • the exhaust nozzles 334b illustrated in FIGS. 6 and 12 have the same structure as the supply nozzles 332b illustrated in FIGS. 12 to 14. That is, the exhaust nozzle 334b has an internal space in which the cross-sectional area decreases along the suction direction, and the unreacted gas and the reaction by-product sucked through the exhaust port 332c converge along the internal space of the exhaust nozzle 334b and then the exhaust pipe. Go to 332a.
  • FIG. 16 is a perspective view schematically showing a modified embodiment of the supply nozzle shown in FIG. 13, and FIG. 17 is a cross-sectional view showing the supply nozzle shown in FIG.
  • the supply nozzle 332b includes a spray plate 332d, and the spray plate 332d may be installed on the supply port 332c.
  • the injection plate 332d has a plurality of injection holes 332e, and the reaction gas diffused along the inner space of the supply nozzle 332b may be injected through the injection holes 332e.
  • the present invention can be applied to various types of semiconductor manufacturing equipment and manufacturing methods.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 발명의 일 실시예에 의하면, 기판에 대한 공정이 이루어지는 기판 처리 장치는, 상부가 개방되며, 일측에 상기 기판이 출입하는 통로가 형성되는 하부챔버; 상기 하부챔버의 개방된 상부를 폐쇄하며, 상기 공정이 이루어지는 공정공간을 제공하는 외부반응튜브; 하나 이상의 상기 기판이 상하방향으로 적재되며, 상기 기판 홀더 내에 상기 기판이 적재되는 적재위치 및 상기 기판에 대한 상기 공정이 이루어지는 공정위치로 전환가능한 기판 홀더; 그리고 상기 외부반응튜브의 내부에 설치되어 상기 공정공간을 향해 반응가스를 공급하며, 상하방향에 따라 서로 다른 위상차를 가지는 상기 반응가스의 유동을 형성하는 가스공급유닛을 포함한다.

Description

위상차를 갖는 반응가스를 공급하는 기판 처리 장치
본 발명은 기판 처리 장치에 관한 것으로, 더욱 상세하게는 높이에 따라 위상차를 갖는 반응가스를 공급할 수 있는 기판 처리 장치에 관한 것이다.
통상적인 선택적 에피택시 프로세스(selective epitaxy process)는 증착 반응 및 식각 반응을 수반한다. 증착 및 식각 반응은 다결정층 및 에피택셜 층에 대해 비교적 상이한 반응 속도로 동시에 발생한다. 증착 프로세스 중에, 적어도 하나의 제2층상에, 기존의 다결정층 및/또는 비결정층이 증착되는 동안, 에피택셜 층은 단결정 표면상에 형성된다. 그러나 증착된 다결정층은 일반적으로 에피택셜 층보다 빠른 속도로 식각된다. 따라서, 부식 가스의 농도를 변화시킴으로써, 네트 선택적 프로세스(net selective process)가 에피택시 재료의 증착 및 제한된 또는 제한되지 않은 다결정 재료의 증착을 가져온다. 예를 들어, 선택적 에피택시 프로세스는, 증착물이 스페이서 상에 남아있지 않으면서 단결정 실리콘 표면상에 실리콘 함유 재료의 에피층(epilayer)의 형성을 가져올 수 있다.
선택적 에피택시 프로세스는 일반적으로 몇 가지 단점을 가진다. 이러한 에피택시 프로세스 중에 선택성을 유지시키기 위해, 전구체의 화학적 농도 및 반응 온도가 증착 프로세스에 걸쳐서 조절 및 조정되어야 한다. 충분하지 않은 실리콘 전구체가 공급되면, 식각 반응이 활성화되어 전체 프로세스가 느려진다. 또한, 기판 피처의 식각에 대해 해가 일어날 수 있다. 충분하지 않은 부식액 전구체가 공급되면, 증착 반응은 기판 표면에 걸쳐서 단결정 및 다결정 재료를 형성하는 선택성(selectivity)이 감소할 수 있다. 또한, 통상적인 선택적 에피택시 프로세스는 약 800℃, 약 1,000℃, 또는 그보다 높은 온도와 같은 높은 반응 온도를 일반적으로 요구한다. 이러한 높은 온도는 기판 표면에 대한 가능한 통제되지 않은 질화 반응 및 열 예산(thermal budge) 이유로 인해 제조 프로세스 중에 바람직하지 않다.
본 발명의 목적은 공정공간 내에 균일한 반응가스의 유동을 형성할 수 있는 기판 처리 장치를 제공하는 데 있다.
본 발명의 다른 목적은 높이에 따라 위상차를 갖는 반응가스의 유동을 형성할 수 있는 기판 처리 장치를 제공하는 데 있다.
본 발명의 또 다른 목적은 반응가스를 기판에 대해 집중적으로 제공할 수 있는 기판 처리 장치를 제공하는 데 있다.
본 발명의 또 다른 목적들은 다음의 상세한 설명과 첨부한 도면으로부터 보다 명확해질 것이다.
본 발명의 일 실시예에 의하면, 기판에 대한 공정이 이루어지는 기판 처리 장치는, 상부가 개방되며, 일측에 상기 기판이 출입하는 통로가 형성되는 하부챔버; 상기 하부챔버의 개방된 상부를 폐쇄하며, 상기 공정이 이루어지는 공정공간을 제공하는 외부반응튜브; 하나 이상의 상기 기판이 상하방향으로 적재되며, 상기 기판 홀더 내에 상기 기판이 적재되는 적재위치 및 상기 기판에 대한 상기 공정이 이루어지는 공정위치로 전환가능한 기판 홀더; 그리고 상기 외부반응튜브의 내부에 설치되어 상기 공정공간을 향해 반응가스를 공급하며, 상하방향에 따라 서로 다른 위상차를 가지는 상기 반응가스의 유동을 형성하는 가스공급유닛을 포함한다.
상기 가스공급유닛은, 상기 외부반응튜브의 내벽을 따라 배치되며, 서로 다른 높이에 각각 배치되어 상기 반응가스를 토출하는 복수의 공급노즐들; 상기 공급노즐들에 각각 연결되어 상기 공급노즐들에 각각 상기 반응가스를 공급하는 복수의 공급관들; 상기 외부반응튜브의 내벽을 따라 배치되며, 서로 다른 높이에 각각 배치되어 상기 공정공간 내의 미반응가스 및 반응부산물을 흡입하는 복수의 배기노즐들; 그리고 상기 배기노즐들에 각각 연결되어 상기 배기노즐들을 통해 각각 흡입된 상기 미반응가스 및 상기 반응부산물들이 통과하는 복수의 배기관들을 구비할 수 있다.
상기 공급노즐들 및 상기 배기노즐들은 상기 기판 홀더가 상기 공정위치에 있을 때 상기 기판 홀더에 적재된 상기 기판들의 위치와 각각 대응되도록 배치될 수 있다.
각각의 상기 공급노즐은 상기 반응가스가 토출되는 원형 단면의 공급구를 가지는 원형관이고, 각각의 상기 배기노즐은 흡입방향을 따라 단면적이 감소하는 내부공간과, 선단에 형성되어 상기 미반응가스 및 상기 반응부산물을을 흡입하는 슬롯형 단면의 배기구를 가지며, 동일 높이에 대하여 각각의 상기 공급구의 중심과 상기 배기구의 중심은 서로 대칭을 이루도록 배치될 수 있다.
각각의 상기 공급노즐은 토출방향을 따라 단면적이 증가하는 내부공간과, 선단에 형성되어 상기 반응가스가 토출되는 슬롯형 단면의 공급구를 가지고, 각각의 상기 배기노즐은 흡입방향을 따라 단면적이 감소하는 내부공간과, 선단에 형성되어 상기 미반응가스 및 상기 반응부산물을을 흡입하는 슬롯형 단면의 배기구를 가지며, 동일 높이에 대하여 각각의 상기 공급구의 중심과 상기 배기구의 중심은 서로 대칭을 이루도록 배치될 수 있다.
각각의 상기 공급노즐은 토출방향을 따라 단면적이 증가하는 내부공간과, 선단에 형성되어 상기 반응가스가 토출되는 슬롯형 단면의 공급구, 그리고 상기 공급구 상에 설치되어 복수의 분사홀들을 가지는 분사판을 가지고, 각각의 상기 배기노즐은 흡입방향을 따라 단면적이 감소하는 내부공간과, 선단에 형성되어 상기 미반응가스 및 상기 반응부산물을을 흡입하는 슬롯형 단면의 배기구를 가지며, 동일 높이에 대하여 각각의 상기 공급구의 중심과 상기 배기구의 중심은 서로 대칭을 이루도록 배치될 수 있다.
상기 가스공급유닛은 상기 공급노즐들에 각각 연결되어 상기 공급노즐들에 상기 반응가스를 각각 공급하는 복수의 공급라인들을 더 구비할 수 있다.
상기 기판 처리 장치는 상기 하부챔버와 상기 외부반응튜브 사이에 설치되는 지지플랜지를 더 포함하며, 상기 공급라인들은 상기 지지플랜지를 통해 상기 공급노즐들에 각각 연결될 수 있다.
상기 기판 처리 장치는, 상기 외부반응튜브의 내부에 설치되며, 상기 공정위치에 놓여진 상기 기판 홀더의 둘레에 배치되어 상기 기판에 대한 반응영역을 구획하는 내부반응튜브를 더 포함하며, 각각의 상기 공급구 및 상기 배기구는 상기 내부반응튜브의 내부에 위치할 수 있다.
상기 기판 처리 장치는 상기 외부반응튜브의 내부에 설치되어 상하방향으로 배치된 열전대를 더 포함할 수 있다.
상기 기판 처리 장치는 상기 기판 홀더에 연결되며 상기 공정 동안 기설정된 방향으로 회전하는 회전축을 더 포함할 수 있다.
본 발명의 일 실시예에 의하면 공정공간 내에 반응가스의 유동을 균일하게 형성할 수 있다. 특히, 높이에 따라 위상차를 갖는 반응가스의 유동을 형성할 수 있다. 또한, 반응가스를 기판에 대해 집중적으로 제공할 수 있다.
도 1은 본 발명의 일 실시예에 따른 반도체 제조설비를 개략적으로 나타내는 도면이다.
도 2는 본 발명의 일 실시예에 따라 처리된 기판을 나타내는 도면이다.
도 3은 본 발명의 일 실시예에 따라 에피택셜 층을 형성하는 방법을 나타내는 흐름도이다.
도 4는 도 1에 도시한 에피택셜 장치를 개략적으로 나타내는 도면이다.
도 5는 도 1에 도시한 하부챔버 및 기판 홀더를 나타내는 단면도이다.
도 6은 도 1에 도시한 외부반응튜브 및 내부반응튜브와 공급노즐들 및 배기노즐들을 개략적으로 나타내는 단면도이다.
도 7은 도 1에 도시한 공급노즐들의 배치와 열전대들의 배치를 나타내는 단면도이다.
도 8은 도 1에 도시한 배기노즐들의 배치와 열전대들의 배치를 나타내는 단면도이다.
도 9는 도 1에 도시한 공급노즐들에 각각 연결되는 공급라인들을 나타내는 도면이다.
도 10은 도 1에 도시한 내부반응튜브 내에서 반응가스의 유동을 나타내는 도면이다.
도 11은 도 1에 도시한 기판홀더가 공정위치로 전환된 모습을 나타내는 도면이다.
도 12는 도 6에 도시한 공급노즐들에 관한 변형된 실시예를 개략적으로 나타내는 사시도이다.
도 13은 도 12에 도시한 공급노즐을 나타내는 사시도이다.
도 14는 도 12에 도시한 공급노즐을 나타내는 단면도이다.
도 15는 도 12에 도시한 공급노즐들 및 배기노즐들을 통한 반응가스의 유동을 나타내는 도면이다.
도 16은 도 13에 도시한 공급노즐에 관한 변형된 실시예를 개략적으로 나타내는 사시도이다.
도 17은 도 16에 도시한 공급노즐을 나타내는 단면도이다.
이하, 본 발명의 바람직한 실시예를 첨부된 도 1 내지 도 11을 참고하여 더욱 상세히 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예에 한정되는 것으로 해석되어서는 안 된다. 본 실시예는 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.
한편, 이하에서는 에피택셜 공정을 예로 들어 설명하고 있으나, 본 발명은 에피택셜 공정을 포함하는 다양한 반도체 제조공정에 응용될 수 있다.
도 1은 본 발명의 일 실시예에 따른 반도체 제조설비(1)를 개략적으로 나타내는 도면이다. 반도체 제조설비(1)는 공정설비(2), 설비 전방 단부 모듈(Equipment Front End Module:EFEM)(3), 그리고 경계벽(interface wall)(4)을 포함한다. 설비 전방 단부 모듈(3)은 공정설비(2)의 전방에 장착되어, 기판들(S)이 수용된 용기(도시안됨)와 공정설비(2) 간에 웨이퍼(W)를 이송한다.
설비 전방 단부 모듈(3)은 복수의 로드포트들(loadports)(60)과 프레임(frame)(50)을 가진다. 프레임(50)은 로드포트(60)와 공정 설비(2) 사이에 위치한다. 기판(S)를 수용하는 용기는 오버헤드 트랜스퍼(overhead transfer), 오버헤드 컨베이어(overhead conveyor), 또는 자동 안내 차량(automatic guided vehicle)과 같은 이송 수단(도시안됨)에 의해 로드포트(60) 상에 놓여진다.
용기는 전면 개방 일체식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기가 사용될 수 있다. 프레임(50) 내에는 로드포트(60)에 놓여진 용기와 공정설비(2) 간에 기판(S)을 이송하는 프레임 로봇(70)이 설치된다. 프레임(50) 내에는 용기의 도어를 자동으로 개폐하는 도어 오프너(도시안됨)가 설치될 수 있다. 또한, 프레임(50)에는 청정 공기가 프레임(50) 내 상부에서 하부로 흐르도록 청정 공기를 프레임(50) 내로 공급하는 팬필터 유닛(Fan Filter Unit:FFU)(도시안됨)이 제공될 수 있다.
기판(S)은 공정설비(2) 내에서 소정의 공정이 수행된다. 공정설비(2)는 이송 챔버(transfer chamber)(102), 로드록 챔버(loadlock chamber)(106), 세정 챔버(cleaning chamber)(108a,108b), 버퍼 챔버(buffer chamber)(110), 그리고 에피택셜 챔버(epitaxial chamber)(또는 에피택셜 장치)(112a,112b,112c)를 포함한다. 이송 챔버(102)는 상부에서 바라볼 때 대체로 다각의 형상을 가지며, 로드록 챔버(106), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)는 이송 챔버(102)의 측면에 설치된다.
로드록 챔버(106)는 이송 챔버(102)의 측부들 중 설비 전방 단부 모듈(3)과 인접한 측부에 위치한다. 기판(S)은 로드록 챔버(106) 내에 일시적으로 머무른 후 공정설비(2)에 로딩되어 공정이 이루어지며, 공정이 완료된 후 기판(S)은 공정설비(2)로부터 언로딩되어 로드록 챔버(106) 내에 일시적으로 머무른다. 이송 챔버(102), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)는 진공으로 유지되며, 로드록 챔버(106)는 진공 및 대기압으로 전환된다. 로드록 챔버(106)는 외부 오염물질이 이송 챔버(102), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)로 유입되는 것을 방지한다. 또한, 기판(S)의 이송 동안, 기판(S)이 대기에 노출되지 않으므로, 기판(S) 상에 산화막이 성장하는 것을 방지할 수 있다.
로드록 챔버(106)와 이송 챔버(102) 사이, 그리고 로드록 챔버(106)와 설비 전방 단부 모듈(3) 사이에는 게이트 밸브(도시안됨)가 설치된다. 설비 전방 단부 모듈(3)과 로드록 챔버(106) 간에 기판(S)이 이동하는 경우, 로드록 챔버(106)와 이송 챔버(102) 사이에 제공된 게이트 밸브가 닫히고, 로드록 챔버(106)와 이송 챔버(102) 간에 기판(S)이 이동하는 경우, 로드록 챔버(106)와 설비 전방 단부 모듈(3) 사이에 제공되는 게이트 밸브가 닫힌다.
이송 챔버(102)는 기판 핸들러(104)를 구비한다. 기판 핸들러(104)는 로드록 챔버(106), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c) 사이에서 기판(S)을 이송한다. 이송 챔버(102)는 기판(S)이 이동할 때 진공을 유지하도록 밀봉된다. 진공을 유지하는 것은 기판(S)이 오염물(예를 들면, O2, 입자상 물질 등)에 노출되는 것을 방지하기 위함이다.
에피택셜 챔버(112a,112b,112c)는 기판(S) 상에 에피택셜 층을 형성하기 위하여 제공된다. 본 실시예에서는 3개의 에피택셜 챔버(112a,112b,112c)가 제공된다. 에피택셜 공정은 세정 공정에 비해 많은 시간이 소요되므로, 복수의 에피택셜 챔버를 통해 제조수율을 향상시킬 수 있다. 본 실시예와 달리, 4개 이상이나 2개 이하의 에피택셜 챔버가 제공될 수 있다.
세정 챔버(108a,108b)는 에피택셜 챔버(112a,112b,112c) 내에서 기판(S)에 대한 에피택셜 공정이 이루어지기 이전에 기판(S)을 세정하기 위하여 제공된다. 에피택셜 공정이 성공적으로 이루어지기 위해서는 결정성 기판 상에 존재하는 산화물의 양이 최소화되어야 한다. 기판의 표면 산소 함유량이 너무 높은 경우, 산소 원자가 시드 기판 상의 증착재료의 결정학적 배치를 방해하기 때문에, 에피택셜 공정은 유해한 영향을 받는다. 예를 들면, 실리콘 에피택셜 증착시, 결정성 기판 상의 과도한 산소는, 원자 단위의 산소 원자 클러스터에 의해, 실리콘 원자를 그 에피택셜 위치로부터 변위되게 할 수 있다. 이러한 국소적인 원자 변위는 층이 더 두껍게 성장할 때 후속 원자 배열에 오차를 일으킬 수 있다. 이러한 현상은 이른바 적층 결함 또는 힐락(hillock defects)으로 지칭될 수 있다. 기판 표면의 산소화(oxygenation)는, 예를 들면 기판이 이송할 때 대기에 노출되는 경우 발생할 수 있다. 따라서, 기판(S) 상에 형성된 자연 산화막(native oxide)(또는 표면 산화물)을 제거하는 세정 공정이 세정 챔버(108a,108b) 내에서 이루어질 수 있다.
세정 공정은 라디칼 상태의 수소(H*)와 NF3 가스를 사용하는 건식 에칭 공정이다. 예를 들어, 기판의 표면에 형성된 실리콘 산화막을 에칭하는 경우, 챔버 내에 기판을 배치하고 챔버 내에 진공 분위기를 형성한 후, 챔버 내에서 실리콘 산화막과 반응하는 중간 생성물을 발생시킨다.
예를 들어, 챔버 내에 수소 가스의 라디칼(H*)과 불화물 가스(예를 들어, 불화질소(NF3))와 같은 반응성 가스를 공급하면, 아래 반응식(1)과 같이 반응성 가스가 환원되어 NHxFy(x,y는 임의의 정수)와 같은 중간 생성물이 생성된다.
Figure PCTKR2012009723-appb-I000001
중간 생성물은 실리콘 산화막(SiO2)과 반응성이 높기 때문에, 중간 생성물이 실리콘 기판의 표면에 도달하면 실리콘 산화막과 선택적으로 반응하여 아래 반응식(2)와 같이 반응 생성물((NH4)2SiF6)이 생성된다.
Figure PCTKR2012009723-appb-I000002
이후, 실리콘 기판을 100℃ 이상으로 가열하면 아래 반응식(3)과 같이 반응 생성물이 열분해하여 열분해 가스가 되어 증발되므로, 결과적으로 기판 표면으로부터 실리콘 산화막이 제거될 수 있다. 아래 반응식(3)과 같이, 열분해 가스는 HF 가스나 SiF4 가스와 같이 불소를 함유하는 가스가 포함된다.
Figure PCTKR2012009723-appb-I000003
위와 같이, 세정 공정은 반응 생성물을 생성하는 반응 공정 및 반응 생성물을 열분해하는 히팅 공정을 포함하며, 반응 공정 및 히팅 공정은 세정 챔버(108a,108b) 내에서 함께 이루어지거나, 세정 챔버(108a,108b) 중 어느 하나에서 반응 공정이 이루어지고 세정 챔버(108a,108b) 중 다른 하나에서 히팅 공정이 이루어질 수 있다.
버퍼 챔버(110)는 세정 공정이 완료된 기판(S)이 적재되는 공간과 에피택셜 공정이 이루어진 기판(S)이 적재되는 공간을 제공한다. 세정 공정이 완료되면, 기판(S)은 에피택셜 챔버(112a,112b,112c)로 이송되기 이전에 버퍼 챔버(110)로 이동하여 버퍼 챔버(110) 내에 적재된다. 에피택셜 챔버(112a,112b,112c)는 복수의 기판들에 대한 단일 공정이 이루어지는 배치 타입(batch type)일 수 있으며, 에피택셜 챔버(112a,112b,112c) 내에서 에피택셜 공정이 완료되면, 에피택셜 공정이 이루어진 기판(S)은 버퍼 챔버(110) 내에 순차적으로 적재되고, 세정 공정이 완료된 기판(S)은 에피택셜 챔버(112a,112b,112c) 내에 순차적으로 적재된다. 이때, 기판(S)은 버퍼 챔버(110) 내에 종방향으로 적재될 수 있다.
도 2는 본 발명의 일 실시예에 따라 처리된 기판을 나타내는 도면이다. 앞서 설명한 바와 같이, 기판(S)에 대한 에피택셜 공정이 이루어지기 이전에 기판(S)에 대한 세정 공정이 세정 챔버(108a,108b) 내에서 이루어지며, 세정 공정을 통해 기판(70)의 표면에 형성된 산화막(72)을 제거할 수 있다. 산화막은 세정 챔버(108a,108b) 내에서 세정 공정을 통해 제거될 수 있다. 세정 공정을 통해 기판(70)의 표면 상에 에피택시 표면(74)이 노출될 수 있으며, 이를 통해 에피택셜 층의 성장을 돕는다.
이후, 기판(S) 상에 에피택셜 공정이 에피택셜 챔버(112a,112b,112c) 내에서 이루어진다. 에피택셜 공정은 화학기상증착에 의해 이루어질 수 있으며, 에피택시 표면(74) 상에 에피택시 층(76)을 형성할 수 있다. 기판(70)의 에피택시 표면(74)은 실리콘 가스(예를 들어, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, Si2H6, 또는 SiH4) 및 캐리어 가스(예를 들어, N2 및/또는 H2)를 포함하는 반응가스에 노출될 수 있다. 또한, 에피택시 층(76)이 도펀트를 포함할 것이 요구되는 경우, 실리콘 함유 가스는 도펀트 함유 가스(예를 들면, 아르신(AsH3), 포스핀(PH3), 및/또는 디보란(B2H6))를 포함할 수 있다.
도 3은 본 발명의 일 실시예에 따라 에피택셜 층을 형성하는 방법을 나타내는 흐름도이다. 방법은 단계(S10)로부터 시작한다. 단계(S20)에서, 기판(S)은 에피택셜 공정 전에 세정 챔버(108a,108b)로 이동하며, 기판 핸들러(104)는 기판(S)을 세정 챔버(108a,108b)로 이송한다. 이송은 진공으로 유지되는 이송 챔버(102)를 통해 이루어진다. 단계(S30)에서, 기판(S)에 대한 세정 공정이 이루어진다. 앞서 설명한 바와 같이, 세정 공정은 반응 생성물을 생성하는 반응 공정 및 반응 생성물을 열분해하는 히팅 공정을 포함한다. 반응 공정 및 히팅 공정은 세정 챔버(108a,108b) 내에서 함께 이루어지거나, 세정 챔버(108a,108b) 중 어느 하나에서 반응 공정이 이루어지고 세정 챔버(108a,108b) 중 다른 하나에서 히팅 공정이 이루어질 수 있다.
단계(S40)에서, 세정 공정이 완료된 기판(S)은 버퍼 챔버(110)로 이송되어 버퍼 챔버(110) 내에 적재되며, 버퍼 챔버(110) 내에서 에피택셜 공정을 대기한다. 단계(S50)에서 기판(S)은 에피택셜 챔버(112a,112b,112c)로 이송되며, 이송은 진공으로 유지되는 이송 챔버(102)를 통해 이루어진다. 단계(S60)에서 기판(S) 상에 에피택셜 층이 형성될 수 있다. 이후, 기판(S)은 단계(S70)에서 다시 버퍼 챔버(110)로 이송되어 버퍼 챔버(110) 내에 적재되며, 단계(S80)에서 공정이 종료된다.
도 4는 도 1에 도시한 에피택셜 장치를 개략적으로 나타내는 도면이며, 도 5는 도 1에 도시한 하부챔버 및 기판 홀더를 나타내는 단면도이다. 에피택셜 장치(또는 에피택셜 챔버)는 상부가 개방된 형상을 가지는 하부챔버(312b)를 포함하며, 하부챔버(312b)는 이송 챔버(102)에 연결된다. 하부챔버(312b)는 이송 챔버(102)와 연결되는 통로(319)를 가지며, 기판(S)은 통로(319)를 통해 이송챔버(102)로부터 하부챔버(312b)로 로딩될 수 있다. 게이트 밸브(도시안함)는 통로(319)의 외측에 설치되며, 통로(319)는 게이트 밸브에 의해 개방 및 폐쇄될 수 있다.
에피택셜 장치는 복수의 기판들(S)이 적재되는 기판 홀더(328)를 구비하며, 기판들(S)은 기판 홀더(328) 상에 상하방향으로 적재된다. 예를 들어, 기판 홀더(328)는 15매의 기판들(S)을 적재할 수 있다. 기판 홀더(328)가 하부챔버(312b)의 내부에 제공된 적재공간 내에 위치하는 동안(또는 '적재위치'), 기판(S)은 기판 홀더(328) 내에 적재될 수 있다. 후술하는 바와 같이, 기판 홀더(328)는 승강가능하며, 기판 홀더(328)의 슬롯 상에 기판(S)이 적재되면 기판 홀더(328)는 상승하여 기판 홀더(328)의 다음 슬롯 상에 기판(S)이 적재될 수 있다. 기판 홀더(328) 상에 기판이 모두 적재되면, 기판 홀더(328)는 외부반응튜브(312a)의 내부로 이동하며(또는 '공정위치'), 외부반응튜브(312a)의 내부에서 에피택셜 공정이 진행된다.
열차단플레이트(316)는 기판 홀더(328)의 하부에 설치되며, 기판 홀더(328)와 함께 승강한다. 기판 홀더(328)가 공정위치로 전환되면, 도 11에 도시한 바와 같이, 열차단플레이트(316)는 내부반응튜브(314)의 개방된 하부를 폐쇄한다. 열차단플레이트(316)는 세라믹이나 쿼츠(quartz), 또는 메탈에 세라믹을 코팅한 재질일 수 있으며, 공정진행시 반응영역 내의 열이 적재공간으로 이동하는 것을 차단한다. 반응영역 내에 공급된 반응가스 중 일부는 내부반응튜브(314)의 개방된 하부를 통해 적재공간으로 이동할 수 있으며, 이때, 적재공간이 일정 온도 이상이면 반응가스 중 일부가 적재공간의 내벽에 증착될 수 있다. 따라서, 열차단플레이트(316)를 통해 적재공간이 가열되는 것을 방지할 필요가 있으며, 이를 통해 반응가스가 적재공간의 내벽에 증착되는 것을 방지할 수 있다.
하부챔버(312b)는 배기포트(344) 및 보조배기포트(328a), 그리고 보조가스공급포트(362)를 가진다. 배기포트(344)는 'ㄴ'자 형상이며, 후술하는 배기노즐유닛(334)은 배기포트(344)를 통해 제1 배기라인(342)과 연결된다. 또한, 보조배기포트(328a)는 보조배기라인(328b)에 연결되며, 하부챔버(312b) 내부의 적재공간은 보조배기포트(328a)를 통해 배기가 가능하다.
보조가스공급포트(362)는 보조가스공급라인(도시안함)에 연결되며, 보조가스공급라인을 통해 공급된 가스를 적재공간 내에 공급한다. 예를 들어, 비활성가스가 보조가스공급포트(362)를 통해 적재공간 내에 공급될 수 있다. 비활성가스를 적재공간 내에 공급함으로써 공정공간 내에 공급된 반응가스가 적재공간으로 이동하는 것을 방지할 수 있다.
더욱 구체적으로, 비활성가스를 적재공간 내에 연속적으로 공급하고 보조배기포트(328a)를 통해 배기함으로써 공정공간 내에 공급된 반응가스가 적재공간으로 이동하는 것을 방지할 수 있다. 이때, 적재공간 내의 압력이 공정공간 내의 압력보다 약간 높도록 설정할 수 있다. 적재공간 내의 압력이 공정공간 내의 압력보다 약간 높을 경우, 공정공간 내의 반응가스는 적재공간으로 이동할 수 없다.
도 6은 도 1에 도시한 외부반응튜브 및 내부반응튜브와 공급노즐들 및 배기노즐들을 개략적으로 나타내는 단면도이다. 외부반응튜브(312a)는 상부가 개방된 하부챔버(312b)의 상부를 폐쇄하며, 에피택셜 공정이 이루어지는 공정공간을 제공한다. 지지플랜지(442)는 하부챔버(312b)와 외부반응튜브(312a) 사이에 설치되며, 외부반응튜브(312)는 지지플랜지(442)의 상부에 설치된다. 하부챔버(312b)의 적재공간과 외부반응튜브(312a)의 공정공간은 지지플랜지(442)의 중앙에 형성된 개구를 통해 서로 연통되며, 앞서 설명한 바와 같이, 기판 홀더(328) 상에 기판이 모두 적재되면, 기판 홀더(328)는 외부반응튜브(312a)의 공정공간으로 이동할 수 있다.
내부반응튜브(314)는 외부반응튜브(312a)의 내부에 설치되며, 내부반응튜브(314)는 기판(S)에 대한 반응영역을 제공한다. 외부반응튜브(312a)의 내부는 내부반응튜브(314)에 의해 반응영역과 비반응영역으로 구획되며, 반응영역은 내부반응튜브(314)의 내부에 위치하고, 비반응영역은 내부반응튜브(314)의 외부에 위치한다. 기판 홀더(328)는 공정위치로 전환시 반응영역에 위치하며, 반응영역은 공정공간보다 작은 부피를 가진다. 따라서, 반응영역 내에 공급할 경우 반응가스의 사용량을 최소화할 수 있을 뿐만 아니라, 반응가스를 기판 홀더(328) 내에 적재된 기판(S)에 집중할 수 있다. 내부반응튜브(314)는 상부는 폐쇄된 상태에서 하부는 개방되며, 기판 홀더(328)는 내부반응튜브(314)의 하부를 통해 반응영역으로 이동한다.
도 4에 도시한 바와 같이, 측부히터(324) 및 상부히터(326)는 외부반응튜브(312a)를 감싸도록 배치된다. 측부히터(324) 및 상부히터(326)는 외부반응튜브(312a) 내부의 공정공간을 가열하며, 이를 통해 공정공간(또는 반응영역)은 에피택셜 공정이 가능한 온도에 도달할 수 있다. 측부히터(324) 및 상부히터(326)는 지지프레임(327)을 통해 상부승강로드(337)에 연결되며, 승강모터(338)에 의해 상부승강로드(337)가 회전함에 따라 지지프레임(327)은 승강할 수 있다.
에피택셜 장치는 가스공급유닛을 더 포함하며, 가스공급유닛은 공급노즐유닛(332) 및 배기노즐유닛(334)을 구비한다. 공급노즐유닛(332)은 복수의 공급관들(332a) 및 복수의 공급노즐들(332b)을 구비하며, 공급노즐들(332b)은 공급관들(332a)에 각각 연결된다. 각각의 공급노즐(332b)은 원형관 형상이며, 공급구(332c)는 공급노즐(332b)의 선단에 위치하여 반응가스는 공급구(332c)를 통해 토출된다. 공급구(332c)는 원형 단면을 가지며, 도 6에 도시한 바와 같이, 공급노즐들(332b)은 공급구들(332c)의 높이가 서로 다르도록 배치된다.
공급관들(332a) 및 공급노즐들(332b)은 외부반응튜브(312a)의 내부에 위치한다. 공급관들(332a)은 상하로 연장되며, 공급노즐들(332b)은 상기 공급관들(332a)에 대하여 각각 대체로 수직하게 배치된다. 공급구들(332c)은 내부반응튜브(314)의 내측에 위치하며, 이로 인해, 공급구들(332c)을 통해 토출된 반응가스는 내부반응튜브(314) 내부의 반응영역에 집중될 수 있다. 내부반응튜브(314)는 복수의 관통홀들(374)을 가지며, 공급노즐들(332b)의 공급구들(332c)은 관통홀들(374)을 통해 각각 내부반응튜브(314)의 내측에 배치될 수 있다.
도 7은 도 1에 도시한 공급노즐들의 배치와 열전대들의 배치를 나타내는 단면도이다. 도 7에 도시한 바와 같이, 공급노즐들(332b)은 원형 단면인 공급구들(332c)을 각각 가진다. 공급노즐들(332b)의 공급구들(332c)은 내부반응튜브(314)의 내벽을 따라 원주방향으로 배치되며, 각각 서로 다른 높이에 위치한다. 기판 홀더(328)가 공정위치로 전환되면, 공급노즐들(332b)은 기판 홀더(328) 상에 놓여진 기판들(S)을 향해 각각 반응가스를 분사한다. 이때, 공급구들(332c)의 높이는 각각의 기판들(S)의 높이와 대체로 일치한다. 도 6에 도시한 바와 같이, 공급노즐들(332b)은 지지플랜지(442)에 형성된 공급라인들(342)을 통해 각각 반응가스소스(도시안함)와 연결된다.
반응가스소스는 증착용 가스(실리콘 가스(예를 들어, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, Si2H6, 또는 SiH4) 및 캐리어 가스(예를 들어, N2 및/또는 H2))를 공급하거나 에칭용 가스를 공급할 수 있다. 선택적 에피택시 프로세스(selective epitaxy process)는 증착 반응 및 에칭 반응을 수반한다. 본 실시예에서는 도시하지 않았지만, 에피택시 층이 도펀트를 포함할 것이 요구되는 경우, 도펀트 함유 가스(예를 들면, 아르신(AsH3), 포스핀(PH3), 및/또는 디보란(B2H6))가 공급될 수 있다. 또한, 세정 또는 에칭의 경우, 염화수소(HCl)가 공급될 수 있다.
도 6에 도시한 바와 같이, 배기노즐유닛(334)은 복수의 배기관들(334a) 및 복수의 배기노즐들(334b)을 구비하며, 배기노즐들(334b)은 배기관들(334a)에 각각 연결된다. 배기구(334c)는 배기노즐들(334b)의 선단에 위치하여 미반응가스 및 반응부산물을 흡입한다. 배기구(334c)는 슬롯형 단면을 가지며, 도 6에 도시한 바와 같이, 배기노즐들(334b)은 배기구들(334c)의 높이가 서로 다르도록 배치된다.
배기관들(334a) 및 배기노즐들(334b)은 외부반응튜브(312a)의 내부에 위치한다. 배기관들(334a)은 상하로 연장되며, 배기노즐들(334b)은 배기관들(334a)에 대하여 각각 대체로 수직하게 배치된다. 배기구들(334c)은 내부반응튜브(314)의 내측에 위치하며, 이로 인해, 배기구들(334c)을 통해 내부반응튜브(314) 내부의 반응영역으로부터 미반응가스 및 반응부산물을 효과적으로 흡입할 수 있다. 내부반응튜브(314)는 복수의 관통홀들(376)을 가지며, 배기노즐들(334b)의 배기구들(334c)은 관통홀들(376)을 통해 각각 내부반응튜브(314)의 내측에 배치될 수 있다.
도 8은 도 1에 도시한 배기노즐들의 배치와 열전대들의 배치를 나타내는 단면도이다. 도 8에 도시한 바와 같이, 배기노즐들(334b)은 슬롯형 단면인 배기구들(334c)을 각각 가진다. 배기노즐들(334b)의 배기구들(334c)은 내부반응튜브(314)의 내벽을 따라 원주방향으로 배치되며, 각각 서로 다른 높이에 위치한다. 기판 홀더(328)가 공정위치로 전환되면, 공급노즐들(332b)은 기판 홀더(328) 상에 놓여진 기판들(S)을 향해 각각 반응가스를 분사하며, 이때, 내부반응튜브(314) 내에는 미반응가스 및 반응부산물들이 발생한다. 배기노즐들(334b)은 미반응가스 및 반응부산물들을 흡입하여 외부로 배출한다. 배기구들(334c)의 높이는 각각의 기판들(S)의 높이와 대체로 일치한다. 도 4에 도시한 바와 같이, 배기노즐들(334b)은 하부챔버(312b)에 형성된 배기포트(344)를 통해 제1 배기라인(342)과 연결되며, 미반응가스 및 반응부산물들은 제1 배기라인(342)을 통해 배출된다. 개폐밸브(346)는 제1 배기라인(342) 상에 설치되어 제1 배기라인(342)을 개폐하며, 터보펌프(348)는 제1 배기라인(342) 상에 설치되어 제1 배기라인(342)을 통해 미반응가스 및 반응부산물들을 강제 배출한다. 제1 배기라인(342)은 제2 배기라인(352)에 연결되며, 제1 배기라인(342)을 따라 이동한 미반응가스 및 반응부산물들은 제2 배기라인(352)을 통해 배출된다.
한편, 보조배기포트(328a)는 하부챔버(312b)에 형성되며, 보조배기라인(328b)이 보조배기포트(328a)에 연결된다. 보조배기라인(328b)은 제2 배기라인(352)에 연결되며, 제1 및 제2 보조밸브(328c,328d)는 보조배기라인(328b) 상에 설치되어 보조배기라인(328b)을 개폐한다. 보조배기라인(328b)은 연결라인(343)을 통해 제1 배기라인(342)에 연결되며, 연결밸브(343a)는 연결라인(343) 상에 설치되어 연결라인(343)을 개폐한다.
도 7 및 도 8에 도시한 바와 같이, 열전대들(thermocouples)(382,384)은 외부반응튜브(312a)와 내부반응튜브(314) 사이에 설치되며, 열전대들(382,384)은 상하방향으로 배치되어 높이에 따른 온도를 측정한다. 따라서, 작업자는 공정공간 내의 온도를 높이에 따라 파악할 수 있으며, 온도분포가 공정에 미치는 영향을 사전에 점검할 수 있다.
도 9는 도 1에 도시한 공급노즐들에 각각 연결되는 공급라인들을 나타내는 도면이다. 도 9에 도시한 바와 같이, 공급노즐들(332)은 각각 별도의 공급라인들(342)을 통해 반응가스소스(도시안함)와 연결된다. 따라서, 복수의 공급노즐들(332)을 통해 균일한 유량의 반응가스를 내부반응튜브(314)의 반응영역에 공급할 수 있다. 만일, 하나의 공급라인(342)이 복수의 공급노즐들(332)에 연결될 경우, 공급노즐들(332)에 따라 서로 다른 유량의 반응가스를 공급할 수 있으며, 이로 인해 기판 홀더(328) 상의 위치에 따라 공정률이 다르게 나타날 수 있다.
도 10은 도 1에 도시한 내부반응튜브 내에서 반응가스의 유동을 나타내는 도면이다. 앞서 설명한 바와 같이, 공급노즐들(332b)의 공급구들(332c)은 내부반응튜브(314)의 내벽을 따라 원주방향으로 배치되며, 각각 서로 다른 높이에 위치한다. 또한, 배기노즐들(334b)의 배기구들(334c)은 내부반응튜브(314)의 내벽을 따라 원주방향으로 배치되며, 각각 서로 다른 높이에 위치한다. 이때, 동일 높이를 기준으로, 공급구(332c)의 중심과 배기구(334c)의 중심은 대칭을 이룬다. 즉, 기판 홀더(328)에 적재된 기판(S)의 중심을 기준으로 공급노즐(332b)의 공급구(332c)와 배기노즐(334b)의 배기구(334c)는 서로 반대편에 위치한다. 따라서, 공급노즐(332b)로부터 분사된 반응가스는 반대편에 위치하는 배기노즐(334b)을 향해 흐르며(화살표로 표시), 이를 통해 반응가스와 기판(S)의 표면이 반응할 수 있는 충분한 시간을 확보할 수 있다. 이때, 공정중 발생한 미반응가스 및 반응부산물들은 배기노즐(334b)을 통해 흡입되어 배출된다.
또한, 도 10에 도시한 바와 같이, 기판 홀더(328)에 적재된 기판(S)의 높이에 따라 반응가스의 유동은 서로 다르게 나타나며, 기판(S)의 높이에 따라 반응가스의 유동은 위상차를 갖는다. 즉, 공급노즐(332b)의 공급구(332c)의 위치와 배기노즐(334b)의 배기구(334c)의 위치가 기판(S)의 높이에 따라 위상차를 가지므로, 마찬가지로, 반응가스의 위상도 기판(S)의 높이에 따라 위상차를 갖는다. 도 10을 참고하면, ①은 최상단에 위치하는 공급노즐(332b)로부터 배기노즐(334b)을 향하는 반응가스의 유동을 나타내며, ②는 최하단에 위치하는 공급노즐(332b)로부터 배기노즐(334b)을 향하는 반응가스의 유동을 나타낸다. ①과 ② 사이에는 일정 각도의 위상차가 있다. 따라서, 공급구로부터 분사된 반응가스는 다른 높이에 있는 공급구로부터 분사된 반응가스에 의해 확산되는 효과를 나타낸다. 즉, 위상차를 가지는 반응가스의 유동 사이에 간섭이 발생할 수 있으며, 이로 인해 반응가스는 간섭에 의해 확산된 상태에서 배기노즐(334b)을 향해 이동할 수 있다.
또한, 공급노즐(332b)의 공급구(332c)는 원형인 반면에, 배기노즐(334b)의 배기구(334c)는 슬롯 형상이다. 따라서, 공급노즐(332b)의 공급구(332c)로부터 분사된 반응가스는 배기구(334c)의 형상에 따라 일정한 폭을 갖도록 확산되며(도 10에 도시), 이를 통해 반응가스가 기판(S)의 표면과 접촉하는 면적을 증가시킬 수 있다. 또한, 충분한 반응을 유도함으로써 미반응가스의 발생을 억제할 수 있다. 반응가스는 공급구(332c)로부터 배기구(334c)에 이르기까지 기판(S) 상에서 층류유동(laminar flow)을 형성한다.
한편, 도 4에 도시한 바와 같이, 기판 홀더(328)는 회전축(318)에 연결되며, 회전축(318)은 하부챔버(312b)를 관통하여 승강모터(319a) 및 회전모터(319b)에 연결된다. 회전모터(319b)는 모터하우징(319c) 상에 설치되며, 회전모터(319b)는 에피택셜 공정이 진행되는 동안 회전축(318)을 구동하여 회전축(318)과 함께 기판 홀더(328)(및 기판(S))를 회전시킨다. 이는 반응가스가 공급구(332c)로부터 배기구(334c)를 향해 흐르며, 기판(S)에 대한 증착이 공급구(332c) 측에서 배기구(334c) 측으로 진행됨에 따라, 반응가스의 농도가 감소되는 경향이 있기 때문이다. 이러한 결과를 방지하여 기판(S) 표면에서 균일한 증착이 이루어질 수 있도록 기판(S)은 회전할 수 있다.
모터하우징(319c)은 브래킷(319d)에 고정되며, 브래킷(319d)은 하부챔버(312b)의 하부에 연결된 승강로드(319e) 상에 연결되어 승강로드(319e)를 따라 승강한다. 브래킷(319c)은 하부로드(419)에 나사체결되며, 하부로드(419)는 승강모터(319a)에 의해 회전된다. 즉, 승강모터(319a)의 회전에 의해 하부로드(419)는 회전하며, 이로 인해 브래킷(319c)과 모터하우징(319c)은 함께 승강할 수 있다. 따라서, 회전축(318)과 기판 홀더(328)는 함께 승강할 수 있다. 기판 홀더(328)는 승강모터(319a)에 의해 적재위치 및 공정위치로 전환될 수 있다. 벨로우즈(318a)는 하부챔버(312b)와 모터하우징(319c)을 서로 연결하며, 이를 통해 하부챔버(312b) 내부의 기밀을 유지할 수 있다. 도 11은 도 1에 도시한 기판홀더가 공정위치로 전환된 모습을 나타내는 도면이다.
한편, 도 11에 도시한 바와 같이, 열차단플레이트(316)는 기판홀더(328)의 하부에 설치되며, 회전축(318)이 승강함에 따라 기판 홀더(328)와 함께 승강한다. 열차단플레이트(316)는 내부반응튜브(314)의 개방된 하부를 폐쇄하여 내부반응튜브(314) 내부의 열이 하부챔버(312b) 내의 적재공간으로 이동하는 것을 방지한다.
본 발명을 바람직한 실시예를 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예에 한정되지 않는다.
이하, 본 발명의 실시예를 첨부된 도 12 내지 도 17을 참고하여 더욱 상세히 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예에 한정되는 것으로 해석되어서는 안 된다. 본 실시예는 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다. 이하에서는 앞서 설명한 실시예와 구별되는 내용에 대해서만 설명하기로 하며, 이하에서 생략된 설명은 앞서 설명한 내용으로 대체될 수 있다.
한편, 이하에서는 에피택셜 공정을 예로 들어 설명하고 있으나, 본 발명은 에피택셜 공정을 포함하는 다양한 반도체 제조공정에 응용될 수 있다.
도 12는 도 6에 도시한 공급노즐들에 관한 변형된 실시예를 개략적으로 나타내는 사시도이다. 도 13은 도 12에 도시한 공급노즐을 나타내는 사시도이며, 도 14는 도 12에 도시한 공급노즐을 나타내는 단면도이다.
도 12 내지 도 14에 도시한 바와 같이, 공급노즐(332b)은 토출방향을 따라 단면적이 증가하는 내부공간을 가지며, 공급관(332a)을 통해 공급된 반응가스는 공급노즐(332b)의 내부공간을 따라 확산된다. 공급노즐(332b)은 선단에 형성된 공급구(332c)를 가지며, 공급구(332c)는 슬롯형상의 단면을 가진다. 공급구(332c)의 단면적은 배기구(334c)의 단면적과 대체로 일치한다.
도 15는 도 12에 도시한 공급노즐들 및 배기노즐들을 통한 반응가스의 유동을 나타내는 도면이다. 도 15에 도시한 바와 같이, 공급노즐(332b)로부터 분사된 반응가스는 반대편에 위치하는 배기노즐(334b)을 향해 흐른다(화살표로 표시). 이때, 반응가스는 공급노즐(332b)의 내부공간을 통해 확산된 상태에서 공급구(332c)를 통해 토출된 후 배기노즐(334b)의 배기구(334c)를 통해 흡입되므로, 반응가스는 공급구(332c)로부터 배기구(334c)에 이르기까지 일정한 폭(공급구(332c)의 단면적 및 배기구(334c)의 단면적과 대체로 일치함)을 가지는 층류유동(laminar flow)을 형성한다.
또한, 앞서 설명하지 않았으나, 도 6 및 도 12에 도시한 배기노즐들(334b)은 도 12 내지 도 14에 도시한 공급노즐들(332b)과 동일한 구조를 가진다. 즉, 배기노즐(334b)은 흡입방향을 따라 단면적이 감소하는 내부공간을 가지며, 배기구(332c)를 통해 흡입한 미반응가스 및 반응부산물은 배기노즐(334b)의 내부공간을 따라 수렴된 후 배기관(332a)으로 이동한다.
도 16은 도 13에 도시한 공급노즐에 관한 변형된 실시예를 개략적으로 나타내는 사시도이며, 도 17은 도 16에 도시한 공급노즐을 나타내는 단면도이다. 도 16 및 도 17에 도시한 바와 같이, 공급노즐(332b)은 분사판(332d)을 구비하며, 분사판(332d)은 공급구(332c) 상에 설치될 수 있다. 분사판(332d)은 복수의 분사홀들(332e)을 가지며, 공급노즐(332b)의 내부공간을 따라 확산된 반응가스는 분사홀들(332e)을 통해 분사될 수 있다.
본 발명을 실시예를 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 실시예들에 한정되지 않는다.
본 발명은 다양한 형태의 반도체 제조설비 및 제조방법에 응용될 수 있다.

Claims (11)

  1. 기판에 대한 공정이 이루어지는 기판 처리 장치에 있어서,
    상부가 개방되며, 일측에 상기 기판이 출입하는 통로가 형성되는 하부챔버;
    상기 하부챔버의 개방된 상부를 폐쇄하며, 상기 공정이 이루어지는 공정공간을 제공하는 외부반응튜브;
    하나 이상의 상기 기판이 상하방향으로 적재되며, 상기 기판이 적재되는 적재위치 및 상기 기판에 대한 상기 공정이 이루어지는 공정위치로 전환가능한 기판 홀더; 및
    상기 외부반응튜브의 내부에 설치되어 상기 공정공간을 향해 반응가스를 공급하며, 상하방향에 따라 서로 다른 위상차를 가지는 상기 반응가스의 유동을 형성하는 가스공급유닛을 포함하는 것을 특징으로 하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 가스공급유닛은,
    상기 외부반응튜브의 내벽을 따라 배치되며, 서로 다른 높이에 각각 배치되어 상기 반응가스를 토출하는 복수의 공급노즐들;
    상기 공급노즐들에 각각 연결되어 상기 공급노즐들에 각각 상기 반응가스를 공급하는 복수의 공급관들;
    상기 외부반응튜브의 내벽을 따라 배치되며, 서로 다른 높이에 각각 배치되어 상기 공정공간 내의 미반응가스 및 반응부산물을 흡입하는 복수의 배기노즐들; 및
    상기 배기노즐들에 각각 연결되어 상기 배기노즐들을 통해 각각 흡입된 상기 미반응가스 및 상기 반응부산물들이 통과하는 복수의 배기관들을 구비하는 것을 특징으로 하는 기판 처리 장치.
  3. 제2항에 있어서,
    상기 공급노즐들 및 상기 배기노즐들은 상기 기판 홀더가 상기 공정위치에 있을 때 상기 기판 홀더에 적재된 상기 기판들의 위치와 각각 대응되도록 배치되는 것을 특징으로 하는 기판 처리 장치.
  4. 제2항에 있어서,
    각각의 상기 공급노즐은 상기 반응가스가 토출되는 원형 단면의 공급구를 가지는 원형관이고,
    각각의 상기 배기노즐은 흡입방향을 따라 단면적이 감소하는 내부공간과, 선단에 형성되어 상기 미반응가스 및 상기 반응부산물을을 흡입하는 슬롯형 단면의 배기구를 가지며,
    동일 높이에 대하여 각각의 상기 공급구의 중심과 상기 배기구의 중심은 서로 대칭을 이루도록 배치되는 것을 특징으로 하는 기판 처리 장치.
  5. 제2항에 있어서,
    각각의 상기 공급노즐은 토출방향을 따라 단면적이 증가하는 내부공간과, 선단에 형성되어 상기 반응가스가 토출되는 슬롯형 단면의 공급구를 가지고,
    각각의 상기 배기노즐은 흡입방향을 따라 단면적이 감소하는 내부공간과, 선단에 형성되어 상기 미반응가스 및 상기 반응부산물을을 흡입하는 슬롯형 단면의 배기구를 가지며,
    동일 높이에 대하여 각각의 상기 공급구의 중심과 상기 배기구의 중심은 서로 대칭을 이루도록 배치되는 것을 특징으로 하는 기판 처리 장치.
  6. 제2항에 있어서,
    각각의 상기 공급노즐은 토출방향을 따라 단면적이 증가하는 내부공간과, 선단에 형성되어 상기 반응가스가 토출되는 슬롯형 단면의 공급구, 그리고 상기 공급구 상에 설치되어 복수의 분사홀들을 가지는 분사판을 가지고,
    각각의 상기 배기노즐은 흡입방향을 따라 단면적이 감소하는 내부공간과, 선단에 형성되어 상기 미반응가스 및 상기 반응부산물을을 흡입하는 슬롯형 단면의 배기구를 가지며,
    동일 높이에 대하여 각각의 상기 공급구의 중심과 상기 배기구의 중심은 서로 대칭을 이루도록 배치되는 것을 특징으로 하는 기판 처리 장치.
  7. 제2항에 있어서,
    상기 가스공급유닛은 상기 공급노즐들에 각각 연결되어 상기 공급노즐들에 상기 반응가스를 각각 공급하는 복수의 공급라인들을 더 구비하는 것을 특징으로 하는 기판 처리 장치.
  8. 제7항에 있어서,
    상기 기판 처리 장치는 상기 하부챔버와 상기 외부반응튜브 사이에 설치되는 지지플랜지를 더 포함하며,
    상기 공급라인들은 상기 지지플랜지를 통해 상기 공급노즐들에 각각 연결되는 것을 특징으로 하는 기판 처리 장치.
  9. 제4항에 있어서,
    상기 기판 처리 장치는,
    상기 외부반응튜브의 내부에 설치되며, 상기 공정위치에 놓여진 상기 기판 홀더의 둘레에 배치되어 상기 기판에 대한 반응영역을 구획하는 내부반응튜브를 더 포함하며,
    각각의 상기 공급구 및 상기 배기구는 상기 내부반응튜브의 내부에 위치하는 것을 특징으로 하는 기판 처리 장치.
  10. 제1항에 있어서,
    상기 기판 처리 장치는 상기 외부반응튜브의 내부에 설치되어 상하방향으로 배치된 열전대를 더 포함하는 것을 특징으로 하는기판 처리 장치.
  11. 제1항에 있어서,
    상기 기판 처리 장치는 상기 기판 홀더에 연결되며 상기 공정 동안 기설정된 방향으로 회전하는 회전축을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
PCT/KR2012/009723 2011-11-17 2012-11-16 위상차를 갖는 반응가스를 공급하는 기판 처리 장치 WO2013073886A1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US14/357,628 US9620395B2 (en) 2011-11-17 2012-11-16 Apparatus for processing substrate for supplying reaction gas having phase difference
JP2014542244A JP5919388B2 (ja) 2011-11-17 2012-11-16 位相差を有する反応ガスを供給する基板処理装置
CN201280056552.3A CN103959438B (zh) 2011-11-17 2012-11-16 供应具有相位差的反应性气体的基板处理装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2011-0120256 2011-11-17
KR1020110120256A KR101364701B1 (ko) 2011-11-17 2011-11-17 위상차를 갖는 반응가스를 공급하는 기판 처리 장치

Publications (1)

Publication Number Publication Date
WO2013073886A1 true WO2013073886A1 (ko) 2013-05-23

Family

ID=48429884

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2012/009723 WO2013073886A1 (ko) 2011-11-17 2012-11-16 위상차를 갖는 반응가스를 공급하는 기판 처리 장치

Country Status (6)

Country Link
US (1) US9620395B2 (ko)
JP (1) JP5919388B2 (ko)
KR (1) KR101364701B1 (ko)
CN (1) CN103959438B (ko)
TW (1) TWI489527B (ko)
WO (1) WO2013073886A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180105933A1 (en) * 2015-04-21 2018-04-19 Eugene Technology Co., Ltd. Substrate processing apparatus and method for cleaning chamber

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101371435B1 (ko) * 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
KR101557016B1 (ko) 2013-10-17 2015-10-05 주식회사 유진테크 기판 처리장치
US9881826B2 (en) * 2014-10-24 2018-01-30 Lam Research Corporation Buffer station with single exit-flow direction
KR101682154B1 (ko) * 2015-04-14 2016-12-02 주식회사 유진테크 기판처리장치
KR101682153B1 (ko) * 2015-04-14 2016-12-02 주식회사 유진테크 기판처리장치
KR101760316B1 (ko) * 2015-09-11 2017-07-21 주식회사 유진테크 기판처리장치
CN107641796B (zh) * 2016-07-21 2020-10-02 台湾积体电路制造股份有限公司 制程设备及化学气相沉积制程
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
KR101936026B1 (ko) * 2018-11-23 2019-01-07 김진호 대칭 가스 분사를 이용한 파티클 제거 장치
US20210062335A1 (en) * 2019-09-02 2021-03-04 Samsung Electronics Co., Ltd. Apparatus for manufacturing semiconductor device
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100264208B1 (ko) * 1998-05-19 2000-09-01 김영환 반도체 제조장비의 식각장치
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
KR101003305B1 (ko) * 2008-10-07 2010-12-22 국제엘렉트릭코리아 주식회사 퍼니스형 반도체 설비

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US6056994A (en) * 1988-12-27 2000-05-02 Symetrix Corporation Liquid deposition methods of fabricating layered superlattice materials
US5456945A (en) * 1988-12-27 1995-10-10 Symetrix Corporation Method and apparatus for material deposition
US5614252A (en) * 1988-12-27 1997-03-25 Symetrix Corporation Method of fabricating barium strontium titanate
US5965219A (en) * 1988-12-27 1999-10-12 Symetrix Corporation Misted deposition method with applied UV radiation
US5688565A (en) * 1988-12-27 1997-11-18 Symetrix Corporation Misted deposition method of fabricating layered superlattice materials
JP2662722B2 (ja) * 1990-01-12 1997-10-15 東京エレクトロン株式会社 バッチ式熱処理装置
US5759923A (en) * 1991-02-25 1998-06-02 Symetrix Corporation Method and apparatus for fabricating silicon dioxide and silicon glass layers in integrated circuits
JPH05198517A (ja) * 1992-01-21 1993-08-06 Tokyo Electron Ltd バッチ式ガス処理装置
JP3340147B2 (ja) * 1992-02-13 2002-11-05 東京エレクトロン株式会社 処理装置
JP2783041B2 (ja) * 1992-02-28 1998-08-06 日本電気株式会社 気相シリコンエピタキシャル成長装置
JP3186262B2 (ja) * 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
JPH0945597A (ja) * 1995-05-25 1997-02-14 Kokusai Electric Co Ltd 半導体製造装置及びロードロック室酸素濃度の制御方法及び自然酸化膜の生成方法
JP3373990B2 (ja) * 1995-10-30 2003-02-04 東京エレクトロン株式会社 成膜装置及びその方法
US6143063A (en) * 1996-03-04 2000-11-07 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5972428A (en) * 1996-03-05 1999-10-26 Symetrix Corporation Methods and apparatus for material deposition using primer
US5843516A (en) * 1996-09-16 1998-12-01 Symetrix Corporation Liquid source formation of thin films using hexamethyl-disilazane
US5993555A (en) * 1997-01-16 1999-11-30 Seh America, Inc. Apparatus and process for growing silicon epitaxial layer
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
JP4045689B2 (ja) * 1999-04-14 2008-02-13 東京エレクトロン株式会社 熱処理装置
JP2001274107A (ja) * 2000-03-28 2001-10-05 Nec Kyushu Ltd 拡散炉
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置
US6927140B2 (en) * 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US7622007B2 (en) * 2003-08-07 2009-11-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
CN101807525B (zh) * 2003-08-26 2012-05-23 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050121145A1 (en) * 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
KR100718180B1 (ko) * 2004-03-29 2007-05-15 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
KR100609065B1 (ko) * 2004-08-04 2006-08-10 삼성전자주식회사 산화막 형성 장치 및 방법
USD552047S1 (en) * 2005-02-28 2007-10-02 Tokyo Electron Limited Process tube for manufacturing semiconductor wafers
US8148271B2 (en) * 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
KR100779118B1 (ko) * 2005-12-09 2007-11-27 주식회사 테라세미콘 평판표시장치 제조시스템
JP4878830B2 (ja) * 2005-12-12 2012-02-15 株式会社日立国際電気 基板処理装置
US20090304924A1 (en) * 2006-03-03 2009-12-10 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
JP4733738B2 (ja) * 2006-03-20 2011-07-27 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
TW200809926A (en) * 2006-05-31 2008-02-16 Sumco Techxiv Corp Apparatus and method for depositing layer on substrate
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US20080173238A1 (en) * 2006-12-12 2008-07-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and reaction vessel
US8716147B2 (en) * 2007-11-19 2014-05-06 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP2010073822A (ja) * 2008-09-17 2010-04-02 Tokyo Electron Ltd 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
JP2010141223A (ja) * 2008-12-15 2010-06-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5658463B2 (ja) * 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
JP5730496B2 (ja) * 2009-05-01 2015-06-10 株式会社日立国際電気 熱処理装置、半導体デバイスの製造方法および基板処理方法
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2011171566A (ja) * 2010-02-19 2011-09-01 Elpida Memory Inc Ald成膜装置、および半導体装置の製造方法
JP2011187884A (ja) * 2010-03-11 2011-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
KR101223489B1 (ko) * 2010-06-30 2013-01-17 삼성디스플레이 주식회사 기판 가공 장치
FR2963024B1 (fr) * 2010-07-26 2016-12-23 Altatech Semiconductor Reacteur de depot chimique en phase gazeuse ameliore
JP5243519B2 (ja) * 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
JP5589878B2 (ja) * 2011-02-09 2014-09-17 東京エレクトロン株式会社 成膜装置
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
KR20140070590A (ko) * 2011-10-11 2014-06-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 기록 매체
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
KR101380240B1 (ko) * 2011-11-17 2014-04-03 주식회사 유진테크 열차단플레이트를 포함하는 기판 처리 장치
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101371435B1 (ko) * 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
JP6125247B2 (ja) * 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10006146B2 (en) * 2012-03-28 2018-06-26 Kookje Electric Korea Co., Ltd. Cluster apparatus for treating substrate
KR101398949B1 (ko) * 2013-01-15 2014-05-30 주식회사 유진테크 기판처리장치
KR101392379B1 (ko) * 2013-03-27 2014-05-12 주식회사 유진테크 기판처리장치
KR101392378B1 (ko) * 2013-03-27 2014-05-12 주식회사 유진테크 기판처리장치
KR101390474B1 (ko) * 2013-04-08 2014-05-07 주식회사 유진테크 기판처리장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100264208B1 (ko) * 1998-05-19 2000-09-01 김영환 반도체 제조장비의 식각장치
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
KR101003305B1 (ko) * 2008-10-07 2010-12-22 국제엘렉트릭코리아 주식회사 퍼니스형 반도체 설비

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180105933A1 (en) * 2015-04-21 2018-04-19 Eugene Technology Co., Ltd. Substrate processing apparatus and method for cleaning chamber

Also Published As

Publication number Publication date
US20140345801A1 (en) 2014-11-27
KR101364701B1 (ko) 2014-02-20
CN103959438B (zh) 2017-03-15
US9620395B2 (en) 2017-04-11
CN103959438A (zh) 2014-07-30
JP5919388B2 (ja) 2016-05-18
TWI489527B (zh) 2015-06-21
KR20130054706A (ko) 2013-05-27
TW201324590A (zh) 2013-06-16
JP2015503227A (ja) 2015-01-29

Similar Documents

Publication Publication Date Title
WO2013073886A1 (ko) 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
WO2013019062A2 (ko) 에피택셜 공정을 위한 반도체 제조설비
WO2013073889A1 (ko) 보조가스공급포트를 포함하는 기판 처리 장치
WO2013019064A2 (ko) 에피택셜 공정을 위한 반도체 제조설비
WO2013019063A2 (ko) 에피택셜 공정을 위한 반도체 제조설비
WO2013073888A1 (ko) 열차단플레이트를 포함하는 기판 처리 장치
WO2013073887A1 (ko) 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
WO2013019061A2 (ko) 에피택셜 공정을 위한 반도체 제조설비
WO2013103194A1 (ko) 처리유닛을 포함하는 기판 처리 장치
KR101677560B1 (ko) 공정공간 높이별 가열온도를 조절할 수 있는 히터를 구비한 기판 처리 장치
WO2017122963A2 (ko) 에피텍셜 웨이퍼 제조 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12850008

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 14357628

Country of ref document: US

ENP Entry into the national phase

Ref document number: 2014542244

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12850008

Country of ref document: EP

Kind code of ref document: A1