WO2012114611A1 - Gaz de nettoyage et procédé de nettoyage par plasma à distance l'utilisant - Google Patents

Gaz de nettoyage et procédé de nettoyage par plasma à distance l'utilisant Download PDF

Info

Publication number
WO2012114611A1
WO2012114611A1 PCT/JP2011/078855 JP2011078855W WO2012114611A1 WO 2012114611 A1 WO2012114611 A1 WO 2012114611A1 JP 2011078855 W JP2011078855 W JP 2011078855W WO 2012114611 A1 WO2012114611 A1 WO 2012114611A1
Authority
WO
WIPO (PCT)
Prior art keywords
cleaning
gas
cleaning gas
remote plasma
reaction chamber
Prior art date
Application number
PCT/JP2011/078855
Other languages
English (en)
Japanese (ja)
Inventor
智典 梅崎
啓之 大森
Original Assignee
セントラル硝子株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by セントラル硝子株式会社 filed Critical セントラル硝子株式会社
Publication of WO2012114611A1 publication Critical patent/WO2012114611A1/fr

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Definitions

  • the present invention relates to a cleaning gas for a CVD apparatus and a remote plasma cleaning method using the same.
  • the CVD apparatus it is necessary to periodically remove unnecessary deposits generated on the inner wall of the reaction chamber and the wafer stage, for example, Si-containing materials, Ge-containing materials, or metal-containing materials.
  • active F radicals are generated by plasma discharge in the presence of a cleaning gas containing NF 3 or C 2 F 6 and the deposit is converted into a highly volatile substance.
  • a plasma cleaning method in which the gas is discharged out of the reaction chamber.
  • Plasma generation methods in plasma cleaning are roughly divided into two types.
  • One is a system that generates a plasma discharge inside the reaction chamber, such as a parallel plate type plasma generator, and the other is a system that introduces a plasma discharge gas outside the reaction chamber into the reaction chamber. This is called the remote plasma cleaning method.
  • the optimum method is selected as appropriate according to the specifications of the apparatus, and in particular, the remote plasma cleaning method is used when it is impossible to generate plasma discharge in the chamber, or parallel plate type plasma generation.
  • the remote plasma cleaning method is used when there is a concern about damage to an electrode substrate due to ion bombardment during plasma discharge by a cleaning gas component.
  • a cleaning gas diluted with NF 3 or C 2 F 6 is generally used.
  • NF 3 is currently most frequently used because of its high etching rate compared to other gases.
  • NF 3 and C 2 F 6 both have a high global warming potential (GWP), and thus have a drawback that the load on the environment is large.
  • GWP global warming potential
  • Non-Patent Document 1 carbonyl difluoride (CF 2 O) and trifluoromethyl hypofluorite (CF 3 OF), which have a GWP of 1 as a cleaning gas with a low global warming potential, have been developed and generate parallel plate plasma. A cleaning performance by an apparatus is disclosed (Non-Patent Document 1).
  • plasma cleaning with a gas having a low environmental impact when discharged into the atmosphere and a low global warming potential has a disadvantage that the etching rate is inferior to that of using NF 3 . Therefore, from the viewpoint of suppressing global warming and improving the efficiency of the plasma cleaning process, the plasma cleaning of deposits in the CVD apparatus uses NF 3 having a low global warming coefficient and a GWP of 17,000. There is a need to develop a cleaning gas that can achieve a high etching rate equivalent to or higher than the above.
  • the present invention is a cleaning gas for removing Si-containing material, Ge-containing material, or metal-containing material deposited in a reaction chamber of a CVD apparatus by a remote plasma cleaning method, and the cleaning gas has a general formula CF.
  • a cleaning gas is provided, which is a mixed gas containing N 2 and a compound represented by the formula:
  • the compound represented by the general formula CF x O y is preferably CF 3 OF or CF 2 O. Further, the concentration of the compound represented by the general formula CF x O y in the cleaning gas is 20 vol% or more and 99.5 vol% or less, and the concentration of N 2 in the cleaning gas is 0.5 vol% or more and 80 vol%. % Or less is preferable.
  • the cleaning gas may further contain at least one gas selected from the group consisting of He, Ar, and O 2 .
  • the present invention provides a remote plasma cleaning method for removing Si-containing materials, Ge-containing materials, or metal-containing materials deposited in a reaction chamber of a CVD apparatus using the above-described cleaning gas.
  • the cleaning gas of the present invention makes it possible to remove unnecessary deposits in the reaction chamber of the CVD apparatus at high speed without using NF 3 having a high global warming potential in the remote plasma cleaning of the CVD apparatus.
  • the schematic system diagram of the CVD apparatus used for the test is shown.
  • the relationship between the concentration of the gas component A as a fluorine radical source and the etching rate when the object to be cleaned is SiO 2 is shown.
  • concentration of the gas component A as a fluorine radical source and the etching rate in case cleaning object is Si is shown.
  • the object to be removed by the cleaning gas of the present invention is a Si-containing material, a Ge-containing material, or a metal-containing material deposited on the inner wall of the reaction chamber of the CVD apparatus.
  • Si-containing material a Ge-containing material
  • metal-containing material deposited on the inner wall of the reaction chamber of the CVD apparatus. Examples thereof include Si, Si oxide, Si nitride, SiGe, Ge, W, Ti, In, and Ir.
  • CF x O y are both mixed gases containing compound and N 2 represented by.
  • the concentration of the compound represented by the general formula CF x O y in the cleaning gas is preferably 20 vol% or more and 99.5 vol% or less, and more preferably 40 vol% or more and 99.5 vol% or less. It is desirable to obtain a high etching rate.
  • the concentration of N 2 is preferably 0.5 vol% or more and 80 vol% or less, and preferably 0.5 vol% or more and 60 vol% or less in order to obtain a higher etching rate.
  • the cleaning gas in addition to the compound represented by the general formula CF x O y and N 2 , at least one gas selected from the group consisting of He, Ar, and O 2 may be mixed.
  • the concentration of at least one gas selected from the group consisting of He, Ar, and O 2 is not particularly limited, but is not more than the concentration obtained by subtracting the total concentration of the general formula CF x O y and N 2 from the cleaning gas. Gas other than the above may be contained.
  • the remote plasma cleaning method of the present invention is performed using a remote plasma generator connected to a reaction chamber of a CVD apparatus. Specifically, the cleaning gas adjusted and mixed in advance to a desired composition is supplied to the plasma generation source of the remote plasma generation apparatus to cause plasma discharge, and then introduced into the reaction chamber, thereby causing a reaction chamber of the CVD apparatus. The Si-containing material, the Ge-containing material, or the metal-containing material deposited on the inner wall or the wafer stage is removed.
  • the pressure in the reaction chamber during cleaning may be a pressure at which stable plasma discharge is obtained when plasma discharge is performed with a remote plasma generator, and is preferably 5 Pa or more and 3000 Pa or less.
  • deposits can be removed at a high etching rate equivalent to or higher than that of NF 3 having a high global warming potential.
  • Fig. 1 shows a schematic system diagram of the CVD apparatus used in this test.
  • the reaction chamber 1 of the CVD apparatus is equipped with a parallel plate type capacitively coupled plasma generator, and the high frequency power source 3 is connected to the plate electrode 4b in the reaction chamber 1 and the ground 9 is connected to the plate electrode 4a in the reaction chamber 1. did.
  • a pressure gauge 2 for detecting the pressure in the chamber was connected to the reaction chamber 1.
  • an exhaust gas line (not shown) was connected to the discharge port 7 of the reaction chamber 1 in order to discharge gas in the chamber such as volatile products generated by remote plasma cleaning and unreacted gas.
  • the CVD apparatus is provided with a remote plasma generator 6, and the flow rate of the cleaning gas is adjusted by a mass flow controller (not shown), and the reaction chamber is passed from the gas inlet 5 through the remote plasma generator 6 at a predetermined flow rate. 1 was introduced.
  • the remote plasma cleaning is performed by introducing a cleaning gas into the reaction chamber 1 from the gas inlet 5 while maintaining the discharge of the remote plasma generator 6, and adjusting the exhaust amount of the exhaust gas line to adjust the pressure in the chamber. The process proceeded in an adjusted state (the pressure at this time was the process pressure).
  • a test piece 8 made of SiO 2 or Si having a thickness of 0.5 mm and an area of 4 cm 2 is used as a cleaning target, and the test piece 8 is placed on the flat plate electrode 4a, under predetermined conditions. Remote plasma cleaning was performed. In all the tests, the total flow rate of the introduced cleaning gas was 100 sccm, and the cleaning time was 10 minutes.
  • the cleaning gas a mixed gas of a gas component A that is a fluorine radical source and N 2 and / or an added gas species was used.
  • the mass of the test piece 8 was measured before and after the test, and the etching rate of the test piece 8 was calculated by the following calculation formula (1).
  • Examples 1 to 20 and Reference Examples 1 to 14 In Examples 1 to 20, tests were performed using a cleaning gas containing CF 3 OF or CF 2 O as the gas component A. In Reference Examples 1 to 14, tests were conducted in the same manner as in Examples 1 to 7, 11, 13 to 16, 19, and 20 except that NF 3 was used as the gas component A.
  • the cleaning gas compositions, cleaning conditions and test results of Examples 1 to 20 and Reference Examples 1 to 14 are shown in Tables 1 and 2, respectively.
  • Example 1, ⁇ 6, CF 3 at binary cleaning gas composition between OF and N 2 the pressure in the chamber the material is with respect to SiO 2 of the test piece 8 53.
  • Remote plasma cleaning is performed at 2 Pa, and in Reference Examples 1 to 6, remote plasma cleaning is performed under the same conditions as in Examples 1 to 6 with a cleaning gas composition using NF 3 instead of CF 3 OF as gas component A. It was.
  • the etching rate tends to decrease as the concentration of CF 3 OF or NF 3 as the fluorine radical source decreases, and the case where CF 3 OF is used. The etching rate was equal to or higher than when NF 3 was used.
  • the results of cleaning in Reference Examples 1 and 8 to 13 performed by replacement were equivalent to or better.
  • Comparative Examples 1 to 15 In Comparative Examples 1 to 15, tests were performed in the same manner as in Examples 1 to 20, except that a cleaning gas containing no N 2 was used. Table 3 shows the cleaning gas compositions, cleaning conditions, and test results of Comparative Examples 1 to 15.
  • FIG. 2 a graph of the relationship between the etching rate and the concentration of the gas component A at a process pressure of 53.2 Pa is shown in FIG. 2 for the SiO 2 cleaning object and in FIG. 3 for the Si cleaning object. .
  • Comparative Examples 1 to 8 differ from Examples 1 to 6, 19 and 20 in that N 2 added to the gas component A is changed to another gas (O 2 or Ar).
  • the etching rates of the cleaning gases of Comparative Examples 1 to 8 to which other gases are added are higher than those of the cleaning gases of Examples 1 to 6, 19 and 20 containing N 2. It was extremely low.
  • the material of the specimen 8 for also Comparative Examples 9-11 was Si
  • the material of the test piece 8 is unchanged from Comparative Example 1-8 was SiO 2
  • the etching rate tends to extremely decrease in the case of the cleaning gas to which other gases are added.
  • Comparative Example 12 in which the gas component A was CF 2 O.
  • the cleaning gas of the present invention is useful for cleaning a CVD apparatus, and can be used as an alternative gas for NF 3 having a high global warming potential, particularly in a remote plasma cleaning method.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

L'invention porte sur un gaz de nettoyage qui est un gaz mélangé comprenant un composé représenté par la formule suivante : CFxOy (où x représente 2 ou 4 et y = un nombre entier de 1 à 3 lorsque x = 2 ou y = un nombre entier de 1 à 4 lorsque x = 4) et du N2. Le gaz de nettoyage est utilisé pour enlever une teneur en Si, une teneur en Ge ou une teneur en métal déposée dans une chambre de réaction d'un dispositif de CVD par un procédé de nettoyage par plasma à distance. Le gaz de nettoyage permet d'obtenir un coefficient de réchauffage global inférieur et une vitesse de décapage plus élevée par comparaison avec un gaz de nettoyage classique utilisant du NF3.
PCT/JP2011/078855 2011-02-22 2011-12-14 Gaz de nettoyage et procédé de nettoyage par plasma à distance l'utilisant WO2012114611A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011036238A JP2012174922A (ja) 2011-02-22 2011-02-22 クリーニングガス及びそれを用いたリモートプラズマクリーニング方法
JP2011-036238 2011-02-22

Publications (1)

Publication Number Publication Date
WO2012114611A1 true WO2012114611A1 (fr) 2012-08-30

Family

ID=46720413

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2011/078855 WO2012114611A1 (fr) 2011-02-22 2011-12-14 Gaz de nettoyage et procédé de nettoyage par plasma à distance l'utilisant

Country Status (3)

Country Link
JP (1) JP2012174922A (fr)
TW (1) TW201237210A (fr)
WO (1) WO2012114611A1 (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190148167A1 (en) * 2017-11-16 2019-05-16 Wonik Materials Etching gas mixture, method of forming pattern by using the same, and method of manufacturing integrated circuit device by using the etching gas mixture
EP4099365A4 (fr) * 2020-01-30 2023-08-16 Resonac Corporation Procédé de gravure

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016118088A1 (fr) * 2015-01-22 2016-07-28 Chan Chia Sern Nettoyage au plasma mou non thermique

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11236561A (ja) * 1997-12-18 1999-08-31 Central Glass Co Ltd クリーニングガス
JP2006035213A (ja) * 2004-07-22 2006-02-09 Air Products & Chemicals Inc 窒化チタンの除去方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11236561A (ja) * 1997-12-18 1999-08-31 Central Glass Co Ltd クリーニングガス
JP2006035213A (ja) * 2004-07-22 2006-02-09 Air Products & Chemicals Inc 窒化チタンの除去方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190148167A1 (en) * 2017-11-16 2019-05-16 Wonik Materials Etching gas mixture, method of forming pattern by using the same, and method of manufacturing integrated circuit device by using the etching gas mixture
US10872784B2 (en) * 2017-11-16 2020-12-22 Samsung Electronics Co., Ltd. Etching gas mixture, method of forming pattern by using the same, and method of manufacturing integrated circuit device by using the etching gas mixture
EP4099365A4 (fr) * 2020-01-30 2023-08-16 Resonac Corporation Procédé de gravure

Also Published As

Publication number Publication date
TW201237210A (en) 2012-09-16
JP2012174922A (ja) 2012-09-10

Similar Documents

Publication Publication Date Title
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP2004343026A (ja) Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP2009503270A (ja) 表面沈着物を除去するためのnf3の使用方法
TW583736B (en) Plasma cleaning gas and plasma cleaning method
WO2012114611A1 (fr) Gaz de nettoyage et procédé de nettoyage par plasma à distance l'utilisant
TW201812897A (zh) 電漿處理方法
JP6480417B2 (ja) ヒドロフルオロオレフィンエッチングガス混合物
JP5214316B2 (ja) プラズマ成膜装置のクリーニング方法
JP2009065171A (ja) Cvd装置を用いた成膜方法
CN104576305A (zh) 自清洁真空处理腔室
WO2012014565A1 (fr) Procédé de nettoyage par plasma pour des électrodes en plaques parallèles
JP4320389B2 (ja) Cvdチャンバーのクリーニング方法およびそれに用いるクリーニングガス
JP2003158123A (ja) プラズマクリーニングガス及びプラズマクリーニング方法
US7138364B2 (en) Cleaning gas and etching gas
EP2944385A1 (fr) Procédé de gravure et de nettoyage d'une chambre et gaz associé
CN100393913C (zh) 一种多晶硅刻蚀中的干法清洗工艺
US20240035154A1 (en) Fluorine based cleaning for plasma doping applications
JP2008235562A (ja) プラズマcvd成膜装置のクリーニング方法
JP4531467B2 (ja) 半導体薄膜形成装置のチャンバー内のクリーニング方法
TW202419672A (zh) 運行電漿摻雜系統的方法與清潔系統
JP2003229365A (ja) 混合クリーニングガス組成物
JP2008223093A (ja) 成膜装置のクリーニング方法
CN115274388A (zh) 一种无晶圆等离子腔室的清洗方法
JP2003297757A (ja) 成膜装置のクリーニング方法
Mendicino et al. Reduced clean time and PFC emissions reduction through remote plasma clean for lamp heated CVD chambers

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11859396

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11859396

Country of ref document: EP

Kind code of ref document: A1