WO2005122215A1 - Procede de fonctionnement d'un systeme de traitement destine au traitement d'un substrat - Google Patents

Procede de fonctionnement d'un systeme de traitement destine au traitement d'un substrat Download PDF

Info

Publication number
WO2005122215A1
WO2005122215A1 PCT/US2005/015928 US2005015928W WO2005122215A1 WO 2005122215 A1 WO2005122215 A1 WO 2005122215A1 US 2005015928 W US2005015928 W US 2005015928W WO 2005122215 A1 WO2005122215 A1 WO 2005122215A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
processing system
processing
chamber portion
gas
Prior art date
Application number
PCT/US2005/015928
Other languages
English (en)
Inventor
Martin Kent
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to JP2007515122A priority Critical patent/JP2008502134A/ja
Publication of WO2005122215A1 publication Critical patent/WO2005122215A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Definitions

  • the present invention relates to a method of operating a processing system for treating a substrate, and more particularly to a method of operating a processing system configured for chemical and thermal treatment of a substrate.
  • a (dry) plasma etch process can be utilized to remove or etch material along fine lines or within vias or contacts patterned on a silicon substrate.
  • the plasma etch process generally involves positioning a semiconductor substrate with an overlying patterned, protective layer, for example a photoresist layer, in a processing chamber. Once the substrate is positioned within the chamber, an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is throttled to achieve an ambient process pressure. Thereafter, a plasma is formed when a fraction of the gas species present are ionized by electrons heated via the transfer of radio frequency (RF) power either inductively or capacitively, or microwave power using, for example, electron cyclotron resonance (ECR).
  • RF radio frequency
  • ECR electron cyclotron resonance
  • the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry.
  • reactant specie(s) suitable for the exposed surface etch chemistry.
  • selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve appropriate conditions, including an appropriate concentration of desirable reactant and ion populations to etch various features (e.g., trenches, vias, contacts, gates, etc.) in the selected regions of the substrate.
  • substrate materials where etching is required include silicon dioxide (Si ⁇ 2 ), low-k dielectric materials, poly-silicon, and silicon nitride.
  • etching such features generally comprises the transfer of a pattern formed within a mask layer to the underlying film within which the respective features are formed.
  • the mask can, for example, comprise a light-sensitive material such as (negative or positive) photo-resist, multiple layers including such layers as photo-resist and an anti-reflective coating (ARC), or a hard mask formed from the transfer of a pattern in a first layer, such as photo-resist, to the underlying hard mask layer.
  • the present invention relates to a system and method for treating a substrate, and to a system and method for chemically and thermally treating a substrate.
  • a method of operating a processing system for treating substrates comprising: transferring a first substrate into a lower portion of a processing system configured to perform a chemical treatment using a transfer system coupled to the processing system; transferring the first substrate from the lower portion of the processing system to an upper portion of the processing system configured to perform a thermal treatment using the transfer system; and removing the first substrate from the processing system and the transfer system.
  • a method of operating a processing system for treating substrates comprising: transferring a first substrate into a lower portion of the processing system using a transfer system coupled to the processing system; processing the first substrate in the lower portion; while processing the first substrate in the lower portion, transferring a second substrate to a first holding station in the transfer system; transferring the first substrate using the transfer system from the lower portion to an upper portion of the processing system; processing the first substrate in the upper portion; while processing the first substrate in the upper portion, transferring the second substrate into the lower portion; processing the second substrate in the lower portion; while processing the second substrate in the lower portion, transferring a third substrate to the first holding station; while processing the second substrate in the lower portion, transferring the first substrate to a second holding station in the transfer system; transferring the second substrate using the transfer system from the lower portion to the upper portion of the processing system; processing the second substrate in the upper portion; transferring the third substrate into the lower portion; processing the third substrate in the lower portion; and removing the first substrate from the processing
  • a system for chemically treating and thermally treating a substrate comprising: a processing system having a process chamber including a lower chamber portion that chemically alters exposed surface layers on the substrate, and an upper chamber portion that thermally treats the chemically altered surface layers on the substrate; a substrate lifting assembly coupled to the process chamber, configured to transport the substrate between the lower chamber portion and the upper portion; and a controller coupled to the processing system and the substrate lifting assembly, and configured to optimize the throughput of a plurality of substrates through the upper portion and the lower portion of the processing system.
  • FIG. 1 A illustrates a schematic representation of a plan view of a transfer system for a processing system according to an embodiment of the invention
  • FIG. 1 B illustrates a schematic representation of a side view of a transfer system for a processing system according to another embodiment of the invention
  • FIG. 1 C illustrates a schematic representation of a plan view of a transfer system for a processing system according to another embodiment of the invention
  • FIGs. 2A and 2B show a schematic cross-sectional view of a processing system according to an embodiment of the invention
  • FIG. 3 shows a schematic cross-sectional view of a substrate holder according to an embodiment of the invention
  • FIGs. 4A, 4B, and 4C show a schematic cross-sectional view of a processing system according to another embodiment of the invention.
  • FIG. 5 shows a flow diagram for processing a substrate
  • FIG. 6 illustrates a method of operating a processing system according to an embodiment of the invention.
  • pattern etching comprises the application of a thin layer of light-sensitive material, such as photoresist, to an upper surface of a substrate, that is subsequently patterned in order to provide a mask for transferring this pattern to the underlying thin film during etching.
  • the patterning of the light-sensitive material generally involves exposure by a radiation source through a reticle (and associated optics) of the light-sensitive material using, for example, a micro-lithography system, followed by the removal of the irradiated regions of the light-sensitive material (as in the case of positive photoresist), or non-irradiated regions (as in the case of negative resist) using a developing solvent.
  • multi-layer and hard masks can be implemented for etching features in a thin film.
  • the mask pattern in the light-sensitive layer is transferred to the hard mask layer using a separate etch step preceding the main etch step for the thin film.
  • the hard mask can, for example, be selected from several materials for silicon processing, including, but not limited to, silicon dioxide (Si ⁇ 2), silicon nitride (Si 3 N ), or carbon.
  • the hard mask can be trimmed laterally using, for example, a two-step process involving a chemical treatment of the exposed surfaces of the hard mask layer in order to alter the surface chemistry of the hard mask layer, and a post treatment of the exposed surfaces of the hard mask layer in order to desorb the altered surface chemistry.
  • FIGs. 1 A and 1 B present a plan view and a side view, respectively, of a processing system 1 for processing a substrate using, for example, mask layer trimming.
  • the processing system 1 comprises a treatment system 10 having a lower chamber portion 12 and an upper chamber portion 14 (see FIG. 1 B).
  • the treatment system 10 can be configured to perform a chemical treatment of a substrate in the lower chamber portion 12, and a thermal treatment of the substrate in the upper chamber portion 14.
  • a transfer system 30 can be coupled to the treatment system 10 in order to transfer substrates into and out of the treatment system 10, and exchange substrates with a multi-element manufacturing system 40.
  • the treatment system 10, and the transfer system 30 can, for example, comprise a processing element within the multi-element manufacturing system 40.
  • the multi-element manufacturing system 40 can permit the transfer of substrates to and from processing elements including such devices as etch systems, deposition systems, coating systems, patterning systems, metrology systems, etc.
  • an isolation assembly 50 can be utilized to couple each system.
  • the isolation assembly 50 can comprise at least one of a thermal insulation assembly to provide thermal isolation, and a gate valve assembly to provide vacuum isolation.
  • FIG. 1C presents a processing system 11 for processing a substrate using a process such as mask layer trimming.
  • the processing system 11 comprises one or more treatment systems 10 having a lower chamber portion 12 and an upper chamber portion 14 (see FIG. 1B).
  • the treatment systems 10 are coupled to a transfer system 32 in a cluster-tool arrangement.
  • an isolation assembly 50 can be utilized to couple each system.
  • the isolation assembly 50 can comprise at least one of a thermal insulation assembly to provide thermal isolation, and a gate valve assembly to provide vacuum isolation.
  • Processing system 100 comprises a process chamber 102 having a lower chamber portion 104 for chemically treating a substrate 135 in a chemical treatment space 106, and an upper chamber portion 108 for thermally treating the substrate 135 in a thermal treatment space 110.
  • the lower chamber portion 104 can be temperature-controlled, and the upper chamber portion 108 can be temperature-controlled.
  • the lower chamber portion 104 and upper chamber portion 108 can be thermally insulated from one another using a thermal insulation assembly 112.
  • the lower chamber portion 104 and the upper chamber portion 108 can be vacuum isolated from one another using an optional vacuum isolation assembly, such as gate valve 170 and valve drive system 172 (as shown in FIG. 2A).
  • the lower chamber portion 104 includes a substrate holder 130 configured to support substrate 135.
  • the substrate holder 130 can be configured to heat, cool, or control the temperature of substrate 135.
  • a substrate lifting assembly 140 is configured to raise and lower substrate 135 from the upper surface of substrate holder 130 using translation drive system 142.
  • the lower chamber portion 104 further includes a gas injection system 120 for introducing one or more process gases to the chemical treatment space 106 in the lower chamber portion 104 in order to chemically treat substrate 135, and a pumping system 125 for evacuating the lower chamber portion 104.
  • the upper chamber portion 108 includes a heating assembly 160, such as a radiant heating assembly to be discussed in greater detail below, for elevating the temperature of substrate 135.
  • the upper chamber portion 108 further includes a gas purge system 150 for introducing purge gas to the thermal treatment space 110 in the upper chamber portion 108, and a pumping system 155 for evacuating the upper chamber portion 108.
  • the processing system 100 further includes a controller 180 coupled to the processing system, and configured to control the processing system.
  • the processing system 100 further includes a transfer opening (not shown) through which a substrate can be transferred.
  • the transfer opening can be sealed closed using a gate valve assembly in order to prevent, for example, contamination between the processing system and other systems, such as a transfer system.
  • the transfer opening can be formed in the lower chamber portion 104 of process chamber 102.
  • a film layer on substrate 135 can be trimmed using, for example, a two-step process involving a chemical treatment of the exposed surfaces of the film layer in order to alter the surface chemistry of the film layer, and a thermal treatment of the exposed surfaces of the film layer in order to desorb the altered surface chemistry.
  • substrate lifting assembly 140 can be lowered to its chemical treatment position, wherein substrate 135 is coupled to the upper surface of substrate holder 130.
  • the lower chamber portion 104 can be thermally insulated from the upper chamber portion 108 via thermal insulation assembly 112, and it can optionally be vacuum isolated from the upper chamber portion 108 via gate valve 170.
  • One or more process gases can be introduced for chemically treating substrate 135 using gas injection system 120, and the lower chamber portion 104 can be evacuated using pumping system 125.
  • the substrate lifting assembly 140 can be elevated to its thermal treatment position as shown in FIG. 2B. Therein, the substrate lifting assembly captures substrate 135 with substrate lip 144, raises substrate 135 from the lower chamber portion 104 to the upper chamber portion 108, and isolates the lower chamber portion 104 from the upper chamber portion 108 via chamber lip 146.
  • the lower chamber portion 104 comprises a substrate holder 130 configured to provide several operational functions for thermally controlling and processing substrate 135.
  • the substrate holder 130 can comprise an electrostatic clamping system (or mechanical clamping system) in order to electrically (or mechanically) clamp substrate 135 to the substrate holder 130. Furthermore, substrate holder 130 can, for example, further include a cooling system having a re-circulating coolant flow that receives heat from substrate holder 130 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • electrostatic clamping system or mechanical clamping system
  • substrate holder 130 can, for example, further include a cooling system having a re-circulating coolant flow that receives heat from substrate holder 130 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • a heat transfer gas can, for example, be delivered to the back-side of substrate 135 via a backside gas system to improve the gas-gap thermal conductance between substrate 135 and substrate holder 130.
  • the heat transfer gas supplied to the back-side of substrate 135 can comprise an inert gas such as helium, argon, xenon, krypton, a process gas, or other gas such as oxygen, nitrogen, or hydrogen.
  • an inert gas such as helium, argon, xenon, krypton
  • a process gas such as oxygen, nitrogen, or hydrogen.
  • the backside gas system can comprise a multi-zone gas distribution system such as a two-zone (center-edge) system, wherein the back-side gas gap pressure can be independently varied between the center and the edge of substrate 135.
  • heating/cooling elements such as resistive heating elements, or thermo- electric heaters/coolers can be included in the substrate holder 130, as well as the chamber wall of the lower chamber portion 104 of process chamber 102.
  • FIG. 3 presents a temperature controlled substrate holder 200 for performing several of the above-identified functions.
  • Substrate holder 200 comprises a chamber mating component 210 coupled to a lower wall of the lower chamber portion 104 of process chamber 102, an insulating component 212 coupled to the chamber mating component 210, and a temperature control component 214 coupled to the insulating component 212.
  • the chamber mating and temperature control components 210, 214 can, for example, be fabricated from an electrically and thermally conducting material such as aluminum, stainless steel, nickel, etc.
  • the insulating component 212 can, for example, be fabricated from a thermally-resistant material having a relatively lower thermal conductivity such as quartz, alumina, Teflon, etc.
  • the temperature control component 214 can comprise temperature control elements such as cooling channels, heating channels, resistive heating elements, or thermo-electric elements.
  • the temperature control component 214 comprises a coolant channel 220 having a coolant inlet 222 and a coolant outlet 224.
  • the coolant channel 220 can, for example, be a spiral passage within the temperature control component 214 that permits a flow rate of coolant, such as water, Fluorinert, Galden HT-135, etc., in order to provide conductive-convective cooling of the temperature control component 214.
  • the coolant channel 220 can be zoned into two or more coolant zones, wherein each zone is independently controlled.
  • the temperature control component 214 can comprise an array of thermo-electric elements capable of heating or cooling a substrate depending upon the direction of electrical current flow through the respective elements.
  • An exemplary thermo-electric element is one commercially available from Advanced Thermoelectric, Model ST-127-1.4-8.5M (a 40 mm by 40 mm by 3.4 mm thermo-electric device capable of a maximum heat transfer power of 72 W).
  • the substrate holder 200 can further comprise an electrostatic clamp (ESC) 228 comprising a ceramic layer 230, a clamping electrode 232 embedded therein, and a high-voltage (HV) DC voltage supply 234 coupled to the clamping electrode 232 using an electrical connection 236.
  • ESC electrostatic clamp
  • HV high-voltage
  • the ESC 228 can, for example, be mono-polar, or bi-polar. The design and implementation of such a clamp is well known to those skilled in the art of electrostatic clamping systems.
  • the substrate holder 200 can further comprise a backside gas supply system 240 for supplying a heat transfer gas, such as an inert gas including, but not limited to, helium, argon, xenon, krypton, a process gas, or other gas including oxygen, nitrogen, or hydrogen, to the backside of substrate 135 through at least one gas supply line 242, and at least one of a plurality of orifices and channels.
  • a heat transfer gas such as an inert gas including, but not limited to, helium, argon, xenon, krypton, a process gas, or other gas including oxygen, nitrogen, or hydrogen
  • the backside gas supply system 240 can, for example, be a multi-zone supply system such as a two-zone (center-edge) system, wherein the backside pressure can be varied radially from the center to the edge.
  • the insulating component 212 can further comprise a thermal insulation gap 250 in order to provide additional thermal insulation between the temperature control component 214 and the underlying mating component 210.
  • the thermal insulation gap 250 can be evacuated using a pumping system (not shown) or a vacuum line as part of vacuum pumping system 250, and/or coupled to a gas supply (not shown) in order to vary its thermal conductivity.
  • the gas supply can, for example, be the backside gas supply 340 utilized to couple heat transfer gas to the back-side of the substrate 135.
  • the mating component 210 can further comprise a lift pin assembly 260 capable of raising and lowering three or more lift pins 262 in order to vertically translate substrate 135 to and from an upper surface of the substrate holder 200 and a transfer plane in the processing system.
  • Each component 210, 212, and 214 further comprises fastening devices (such as bolts and tapped holes) in order to affix one component to another, and to affix the substrate holder 200 to the lower chamber portion 104.
  • each component 210, 212, and 214 facilitates the passage of the above-described utilities to the respective component, and vacuum seals, such as elastomer O-rings, are utilized where necessary to preserve the vacuum integrity of the processing system.
  • the temperature of the temperature-controlled substrate holder 200 can be monitored using a temperature sensing device 244 such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.). Furthermore, a controller can utilize the temperature measurement as feedback to the chemical treatment process in order to control the temperature of substrate holder 200. For example, at least one of a fluid flow rate, fluid temperature, heat transfer gas type, heat transfer gas pressure, clamping force, resistive heater element current or voltage, and thermoelectric device current or polarity, etc. can be adjusted in order to affect a change in the temperature of substrate holder 200 and/or the temperature of the substrate 135.
  • the lower chamber portion 104 comprises gas injection system 120.
  • the gas injection system 120 can include one or more gas injection orifices, one or more gas injection plenums for supplying process gas to the one or more gas injection orifices, and a gas supply system.
  • the gas injection system 120 can be configured to supply process gas comprising one or more gases.
  • the process gas can, for example, comprise a variety of agses including, but not limited to, NH 3 , HF, H 2 , O 2) CO, CO 2 , Ar, He, etc.
  • the lower chamber portion 104 can include a temperature controlled wall that is maintained at an elevated temperature.
  • a wall heating element can be coupled to a lower wall temperature control unit 190, and the wall heating element can be configured to couple to the lower chamber portion 104.
  • the heating element can, for example, comprise a resistive heater element such as a tungsten filament, nickel-chromium alloy filament, aluminum-iron alloy filament, aluminum nitride filament, etc. Examples of commercially available materials to fabricate resistive heating elements include Kanthal, Nikrothal, and Akrothal, which are registered trademark names for metal alloys produced by Kanthal Corporation of Bethel, CT.
  • the Kanthal family includes ferritic alloys (FeCrAI) and the Nikrothal family includes austenitic alloys (NiCr, NiCrFe).
  • the lower wall temperature control unit 190 can, for example, comprise a controllable DC power supply.
  • a wall heating element can comprise at least one Firerod cartridge heater commercially available from Watlow (1310 Kingsland Dr., Batavia, IL, 60510).
  • a cooling element can also be employed in the lower chamber portion.
  • the temperature of the lower chamber portion 104 can be monitored using a temperature-sensing device such as a thermocouple (e.g., a K-type thermocouple, Pt sensor, etc.). Furthermore, a controller can utilize the temperature measurement as feedback to the lower wall temperature control unit 190 in order to control the temperature of the lower chamber portion 104.
  • a temperature-sensing device such as a thermocouple (e.g., a K-type thermocouple, Pt sensor, etc.).
  • a controller can utilize the temperature measurement as feedback to the lower wall temperature control unit 190 in order to control the temperature of the lower chamber portion 104.
  • the gas injection system 120 of lower chamber portion 104 can further comprise a temperature controlled gas distribution system that can be maintained at any selected temperature.
  • a gas distribution heating element can be coupled to a gas distribution system temperature control unit 192, and the gas distribution heating element can be configured to couple to the gas distribution system 120.
  • the heating element can, for example, comprise a resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., filament.
  • a resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc.
  • filament examples of commercially available materials to fabricate resistive heating elements include Kanthal, Nikrothal, and Akrothal, which are registered trademark names for metal alloys produced by Kanthal Corporation of Bethel, CT.
  • the Kanthal family includes ferritic alloys (FeCrAI) and the Nikrothal family includes austenitic alloys (NiCr, NiCrFe).
  • the gas distribution system temperature control unit 192 can, for example, comprise a controllable DC power supply.
  • gas distribution heating element can comprise a silicone rubber heater (about 1 mm thick) capable of about 1400 W (or power density of about 5 W/in 2 ).
  • the temperature of the gas distribution system 120 can be monitored using a temperature-sensing device such as a thermocouple (e.g. a K-type thermocouple, Pt sensor, etc.).
  • a controller can utilize the temperature measurement as feedback to the gas distribution system temperature control unit 192 in order to control the temperature of the gas distribution system 120.
  • cooling elements can be employed in any of the embodiments.
  • processing system 100 includes heating assembly 160 coupled to the upper chamber portion 108, and configured to heat substrate 135 when it is in a raised position (thermal treatment position), as shown in FIG. 2B.
  • the heating assembly 160 can include a radiant heating assembly and, more specifically, it can include an array of radiant lamps.
  • the array of lamps can include an array of tungsten-halogen lamps. When turned on, the array of radiant lamps can elevate the temperature of substrate 135 to a point (e.g., about 100 to about 150°C) sufficient to desorb the altered surface chemistry.
  • the upper chamber portion 108 comprises gas purge system 150.
  • the gas purge system 150 can include one or more gas injection orifices, one or more gas injection plenums for supplying purge gas to the one or more gas injection orifices, and a gas supply system.
  • the gas purge system 150 can be configured to supply purge gas comprising one or more gases.
  • the purge gas can, for example, include N 2 , or a noble gas (i.e., He, Ne, Ar, Kr, Xe, Rn).
  • the gas purge system can be temperature controlled.
  • the upper chamber portion 108 can include a temperature-controlled wall that is maintained at an elevated temperature.
  • a wall heating element can be coupled to an upper wall temperature control unit 194, and the wall heating element can be configured to couple to the upper chamber portion 108.
  • the heating element can, for example, comprise a resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., filament.
  • resistive heater element such as a tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., filament.
  • Examples of commercially available materials to fabricate resistive heating elements include Kanthal, Nikrothal, and Akrothal, which are registered trademark names for metal alloys produced by Kanthal Corporation of Bethel, CT.
  • the Kanthal family includes ferritic alloys (FeCrAI) and the Nikrothal family includes austenitic alloys (NiCr, NiCrFe).
  • the upper wall temperature control unit 194 can, for example, comprise a controllable DC power supply.
  • a wall heating element can comprise at least one Firerod cartridge heater commercially available from Watlow (1310 Kingsland Dr., Batavia, IL, 60510).
  • a cooling element can also be employed in the lower chamber portion.
  • the temperature of the upper chamber portion 108 can be monitored using a temperature-sensing device such as a thermocouple (e.g., a K-type thermocouple, Pt sensor, etc.).
  • a controller can utilize the temperature measurement as feedback to the upper wall temperature control unit 194 in order to control the temperature of the upper chamber portion 108.
  • pumping systems 125 and 155 can, for example, include a turbo-molecular vacuum pumps (TMP) capable of a pumping speeds of up to about 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure.
  • TMP turbo-molecular vacuum pumps
  • a gate valve for throttling the chamber pressure In conventional vacuum processing devices, about 1000 to about 3000 liter per second TMP is generally employed. TMPs are useful for low pressure processing, typically less than about 50 mTorr. For high pressure processing (i.e., greater than about 100 mTorr), a mechanical booster pump and dry roughing pump can be used.
  • a device for monitoring chamber pressure (not shown) can be coupled to process chamber 102.
  • processing system 100 includes controller 180 having a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to processing system 100 as well as monitor outputs from processing system 100 such as temperature and pressure sensing devices.
  • controller 180 can be coupled to and can exchange information with substrate holder 130, translation drive system 142, gas injection system 120, pumping system 125, optional (gate) valve drive system 172, lower wall temperature control unit 190, gas distribution system temperature control unit 192, upper wall temperature control unit 194, gas purge system 150, pumping system 155, and heating assembly 160.
  • a program stored in the memory can be utilized to activate the inputs to the aforementioned components of processing system 100 according to a process recipe.
  • controller 180 is a DELL PRECISION WORKSTATION 610TM , available from Dell Corporation, Austin, Texas.
  • Controller 180 can be located locally or remotely relative to the processing system 100.
  • controller 190 can exchange data with processing system 100 using at least one of a direct connection, an intranet, and thelnternet.
  • Controller 180 can be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it can be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, controller 180 can be coupled to thelnternet.
  • another computer i.e., controller, server, etc.
  • access controller 180 to exchange data via at least one of a direct connection, an intranet, the Internet, or a combination thereof.
  • one or more surfaces of the components comprising the lower chamber portion 104 and the upper chamber portion 108 can be coated with a protective barrier.
  • the protective barrier can comprise at least one of Kapton, Teflon, surface anodization, ceramic spray coating such as alumina, yttria, etc., plasma electrolytic oxidation, etc.
  • Processing system 300 comprises a process chamber 302 having a lower chamber portion 304 for chemically treating a substrate 335 in a chemical treatment space 306, and an upper chamber portion 308 for thermally treating a substrate 336 in a thermal treatment space 310.
  • the lower chamber portion 304 can be temperature- controlled, and the upper chamber portion 308 can be temperature-controlled.
  • the lower chamber portion 304 and upper chamber portion 308 can be isolated from one another using an isolation assembly 312.
  • Isolation assembly 312 is configured to translate vertically upward and downward using translation drive assembly 314.
  • the isolation assembly 312 further includes support elements 316 for supporting substrate 336.
  • the lower chamber portion 304 includes a substrate holder 330 configured to support substrate 335.
  • the substrate holder 330 can be configured to heat, cool, or control the temperature of substrate 335.
  • a substrate lift-pin assembly 340 (see FIG. 4C) is configured to raise and lower substrate 335 from the upper surface of substrate holder 330 using translation drive system 342.
  • the lower chamber portion 304 further includes a gas injection system 320 for introducing one or more process gases to the chemical treatment space 306 in the lower chamber portion 304 in order to chemically treat substrate 335, and a pumping system 325 for evacuating the lower chamber portion 304.
  • the upper chamber portion 308 includes a heating assembly 360 and thermal window 362, such as a radiant heating assembly, for elevating the temperature of substrate 336. Additionally, the upper chamber portion 308 further includes a gas purge system 350 for introducing purge gas to the thermal treatment space 310 in the upper chamber portion 308, and a pumping system 355 for evacuating the upper chamber portion 308.
  • a gas purge system 350 for introducing purge gas to the thermal treatment space 310 in the upper chamber portion 308, and a pumping system 355 for evacuating the upper chamber portion 308.
  • the processing system 300 further includes a controller 380 coupled to the processing system, and configured to control the processing system.
  • the controller can be similar to that described above.
  • the processing system 300 further includes a transfer opening 390 through which a substrate can be transferred via a substrate transfer assembly 396, when a gate valve assembly 392 is open. During processing, the transfer opening 390 is sealed closed using gate valve assembly 392 in order to prevent, for example, contamination between the processing system and other systems, such as a transfer system.
  • isolation assembly 312 is configured to receive substrate 336 at a transfer plane (FIG. 4B), translate substrate 336 vertically upward in order to position substrate 336 proximate heating assembly 360, and seal with the upper portion 308 of process chamber 302.
  • substrate lift-pin assembly 340 is configured to receive substrate 335 at the transfer plane and translate substrate 335 vertically downward in order to position the substrate 335 on substrate holder 330.
  • a film layer on substrate 335 can be trimmed using, for example, a two-step process involving a chemical treatment of the exposed surfaces of the film layer in order to alter the surface chemistry of the film layer, and a thermal treatment of the exposed surfaces of the film layer in order to desorb the altered surface chemistry.
  • substrate lift-pin assembly 340 can receive substrate 335 and lower it to substrate holder 330 (in its chemical treatment position), wherein substrate 335 is coupled to the upper surface of substrate holder 330.
  • the lower chamber portion 304 is isolated from the upper chamber portion 308.
  • One or more process gases can be introduced for chemically treating substrate 335 using gas injection system 320, and the lower chamber portion 304 can be evacuated using pumping system 325.
  • the substrate lifting assembly 340 can be elevated to the transfer plane, and substrate 335 can be removed for subsequent processing in the upper chamber portion 308.
  • the isolation assembly 312 can receive substrate 336 and raise it to its thermal treatment position.
  • substrate 336 is translated proximate heating assembly 360, wherein it is thermally treated in, for example, an inert atmosphere provided by gas purge system 350 and pumping system 355.
  • FIG. 5 presents a method of operating the processing system 100 comprising lower chamber portion 104 and upper chamber portion 108.
  • the method is illustrated as a flowchart 400 beginning with 410 wherein a substrate is transferred to the lower chamber portion 104 using the substrate transfer system.
  • the substrate is received by lift pins that are housed within the substrate holder, and the substrate is lowered to the substrate holder. Thereafter, the substrate is secured to the substrate holder using a clamping system, such as an electrostatic clamping system, and a heat transfer gas is supplied to the backside of the substrate.
  • a clamping system such as an electrostatic clamping system
  • a heat transfer gas is supplied to the backside of the substrate.
  • an optional gate valve can be utilized to provide vacuum isolation between the lower chamber portion 104 and the upper chamber portion 108.
  • one or more chemical processing parameters for chemical treatment of the substrate are set.
  • the one or more chemical processing parameters comprise at least one of a chemical treatment processing pressure, a chemical treatment wall temperature, a chemical treatment substrate holder temperature, a chemical treatment substrate temperature, a chemical treatment gas distribution system temperature, and a chemical treatment gas flow rate.
  • one or more of the following processes may occur: 1 ) a controller coupled to a lower wall temperature control unit and a first temperature-sensing device is utilized to set a chemical treatment chamber temperature for the chemical treatment chamber; 2) a controller coupled to a gas injection system temperature control unit and a second temperature- sensing device is utilized to set a chemical treatment gas distribution system temperature for the chemical treatment chamber; 3) a controller coupled to at least one temperature control element and a third temperature-sensing device is utilized to set a chemical treatment substrate holder temperature; 4) a controller coupled to at least one of a temperature control element, a backside gas supply system, and a clamping system, and a fourth temperature sensing device in the substrate holder is utilized to set a chemical treatment substrate temperature; 5) a controller coupled to at least one of a vacuum pumping system, and a gas distribution system, and a pressure-sensing device is utilized to set a processing pressure within the chemical treatment chamber; and/or 6) the mass flow rates of the one or
  • the substrate is chemically treated under the conditions set forth in task 420 for a first period of time.
  • the first period of time can range from about 10 to about 480 seconds, for example.
  • the substrate is transferred from the lower chamber portion 104 to the upper chamber portion 108 via a substrate lifting assembly.
  • the substrate lifting assembly can be as shown in FIGs. 2A and 2B, or as shown in FIGs. 4A, 4B and 4C wherein it includes motions associated with a combination of a substrate lift-pin assembly, a substrate transfer assembly, and an isolation assembly.
  • thermal processing parameters for thermal treatment of the substrate are set.
  • the one or more thermal processing parameters comprise at least one of a thermal treatment wall temperature, a thermal treatment upper assembly temperature, a thermal treatment substrate temperature, a thermal treatment substrate holder temperature, a thermal treatment substrate temperature, and a thermal treatment processing pressure.
  • a controller coupled to a thermal wall temperature control unit and a first temperature-sensing device in the thermal treatment chamber is utilized to set a thermal treatment wall temperature; 2) a controller coupled to an upper assembly temperature control unit and a second temperature-sensing device in the upper assembly is utilized to set a thermal treatment upper assembly temperature; 3) a controller coupled to a substrate holder temperature control unit and a third temperature-sensing device in the heated substrate holder is utilized to set a thermal treatment substrate holder temperature; 4) a controller coupled to a substrate holder temperature control unit and a fourth temperature-sensing device in the heated substrate holder and coupled to the substrate is utilized to set a thermal treatment substrate temperature; and/or 5) a controller coupled to a vacuum pumping system, a gas distribution system, and a pressure sensing device is utilized to set a thermal treatment processing pressure within the thermal treatment chamber.
  • the substrate is thermally treated under the conditions
  • the processing system 100 can be a chemical oxide removal system for trimming an oxide hard mask.
  • the processing system 100, 300 comprises lower chamber portion 104, 304 for chemically treating exposed surface layers, such as oxide surface layers, on a substrate, whereby adsorption of the process chemistry on the exposed surfaces affects chemical alteration of the surface layers.
  • the processing system 100, 300 comprises upper chamber portion 108, 308 for thermally treating the substrate, whereby the substrate temperature is elevated in order to desorb (or evaporate) the chemically altered exposed surface layers on the substrate.
  • the chemical treatment space 106, 306 see FIG.
  • the process gas can further comprise a carrier gas.
  • the carrier gas can, for example, comprise an inert gas such as argon, xenon, helium, etc.
  • the processing pressure can range from about 1 to about 1000 mTorr and, for example, can typically range from about 2 to about 25 mTorr.
  • the process gas flow rates can range from about 1 to about 2000 seem for each specie and, for example, typically range from about 10 to about 100 seem.
  • the lower chamber portion 104, 304 can be heated to a temperature ranging from about 10 to about 200 °C and, for example, the temperature can typically be about 35 to about 55 °C.
  • the gas injection system can be heated to a temperature ranging from about 10 to about 200 °C and, for example, the temperature can typically be about 40 to about 60 °C.
  • the substrate can be maintained at a temperature ranging from about 10 to about 50 °C and, for example, the substrate temperature can typically be about 25 to about 30 °C.
  • the thermal treatment space 110, 310 (see FIG. 2B, or 4B) is evacuated, and a purge gas comprising N 2 is introduced.
  • the processing pressure can range from about 1 to about 1000 mTorr and, for example, can typically range from about 2 to about 25 mTorr.
  • the purge gas flow rates can range from about 1 to about 2000 seem for each specie and, for example, typically range from about 10 to about 100 seem.
  • the wall can be heated to a temperature ranging from about 20 to about 200 °C and, for example, the temperature can typically be about 75 to about 100 °C.
  • the gas purge system can be heated to a temperature ranging from 20 to about 200 °C and, for example, the temperature can typically be about 75 to about 100 °C.
  • the substrate can be heated to a temperature in excess of about 100 °C ranging from about 100 to about 200 °C, and, for example, the temperature can typically be about 100 to about 150 °C.
  • the processing system illustrated in FIGs. 2A and 2B, and FIGs. 4A, 4B and 4C can be configured to facilitate the trimming of a hard mask using a two-step process involving a chemical treatment of the exposed surfaces of the hard mask layer in order to alter the surface chemistry of the hard mask layer, followed by a post treatment of the exposed surfaces of the hard mask layer in order to desorb the altered surface chemistry.
  • the chemical treatment of a substrate can be performed in a lower portion of the processing system, while the thermal treatment of the substrate can be performed in the upper portion of the processing system.
  • the total treatment time for a single substrate can comprise chemical treatment for approximately 200 seconds (including loading the substrate into the chemical treatment chamber, evacuating the chamber, purging the chamber, and chemically treating the substrate), thermal treatment for approximately 100 seconds (including loading the substrate into the thermal treatment chamber, evacuating the chamber, purging the chamber and thermally treating the substrate), and substrate transfer through a transfer system for approximately 60 seconds (including introducing a substrate, purging the transfer system, and evacuating the transfer system).
  • the total time in sequence can be 360 seconds per substrate, leading to a throughput of ten substrates per hour.
  • an embodiment of the present invention provides for overlapping the chemical treatment and the thermal treatment times between adjacent substrates in a substrate lot and performing a number of substrate transfer movements in the transfer system with the thermal treatment. Since the chemical treatment is the limiting process, this ensures that a substrate is in the chemical treatment chamber at all times. This embodiment makes efficient use of the processing and transfer systems and can achieve an improvement in throughput by nearly a factor of two.
  • a transfer system 500 comprising a transfer chamber 502, and a substrate transfer assembly 396 configured to transfer substrates 335, 336 to and from the lower portion 304 of processing system 300 and the upper portion 308 of processing system 300. Additionally, transfer system 500 includes a first holding station 510 coupled to the transfer system 500 and configured to hold a first substrate 512, and a second holding station 520 coupled to the transfer system and configured to hold a second substrate 522.
  • FIG. 6 a method of operating a processing system for chemically treating and thermally treating a substrate is presented.
  • the processing system can be the system depicted in FIGs. 2A and 2B, or the system depicted in FIGs. 4A, 4B and 4C.
  • the method includes a flow chart 600 beginning with task 610 with transferring a first substrate into the lower portion of the processing system for chemical treatment.
  • task 620 while the first substrate is processed in the lower portion, a second substrate is introduced to the transfer system, and stored at a first holding station in the transfer system.
  • the first substrate Upon completion of the chemical processing the first substrate, the first substrate, in task 630, is transferred from the lower portion to the upper portion for thermal treatment. In task 640, the second substrate is transferred into the lower portion for chemical treatment.
  • a third substrate is introduced to the transfer system in task 650, and stored at the first holding station in the transfer system.
  • the first substrate is removed from the upper portion upon completion of the thermal processing and transferred to a second holding station in the transfer system.
  • the second substrate upon completion of the chemical processing the second substrate, the second substrate is transferred from the lower portion to the upper portion for thermal treatment.
  • the third substrate is transferred into the lower portion for chemical treatment.
  • the first substrate is then removed from the transfer system in task 690, and the preceding substrate flow pattern is continued, i.e., while the third substrate is processed in the lower portion, a fourth substrate is introduced to the transfer system and stored at the first holding station in the transfer system, the second substrate is removed from the upper portion upon completion of thermal processing and stored at the second holding station, etc.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

L'invention concerne un procédé et un système permettant d'exploiter un système de traitement pour optimiser le rendement. Le système de traitement est conçu pour l'enlèvement d'oxyde par voie chimique. Ce système comprend une chambre de traitement comportant une partie inférieure destinée au traitement chimique d'un substrat et une partie supérieure destinée au traitement thermique du substrat, ainsi qu'un ensemble mobile destiné au transport du substrat entre la partie inférieure et la partie supérieure de la chambre.
PCT/US2005/015928 2004-06-04 2005-05-06 Procede de fonctionnement d'un systeme de traitement destine au traitement d'un substrat WO2005122215A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007515122A JP2008502134A (ja) 2004-06-04 2005-05-06 基材を処理するためのプロセス加工システムを動作させる方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/859,975 2004-06-04
US10/859,975 US20050269291A1 (en) 2004-06-04 2004-06-04 Method of operating a processing system for treating a substrate

Publications (1)

Publication Number Publication Date
WO2005122215A1 true WO2005122215A1 (fr) 2005-12-22

Family

ID=34969045

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/015928 WO2005122215A1 (fr) 2004-06-04 2005-05-06 Procede de fonctionnement d'un systeme de traitement destine au traitement d'un substrat

Country Status (3)

Country Link
US (1) US20050269291A1 (fr)
JP (1) JP2008502134A (fr)
WO (1) WO2005122215A1 (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009531857A (ja) * 2006-03-28 2009-09-03 東京エレクトロン株式会社 損傷を受けた誘電材料の除去方法
JP2009542000A (ja) * 2006-06-22 2009-11-26 東京エレクトロン株式会社 乾式非プラズマ処理システム及び当該システムの使用方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
JP4723871B2 (ja) * 2004-06-23 2011-07-13 株式会社日立ハイテクノロジーズ ドライエッチング装置
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US20100000684A1 (en) * 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (fr) 2018-10-30 2020-05-07 Applied Materials, Inc. Procédés de gravure d'une structure pour des applications de semi-conducteur
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (fr) 2018-12-07 2020-06-11 Applied Materials, Inc. Système de traitement de semi-conducteurs
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5288379A (en) * 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
US6338626B1 (en) * 1997-09-10 2002-01-15 Tokyo Electron Limited Load-lock mechanism and processing apparatus
US6352623B1 (en) * 1999-12-17 2002-03-05 Nutool, Inc. Vertically configured chamber used for multiple processes

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5288379A (en) * 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
US6338626B1 (en) * 1997-09-10 2002-01-15 Tokyo Electron Limited Load-lock mechanism and processing apparatus
US6352623B1 (en) * 1999-12-17 2002-03-05 Nutool, Inc. Vertically configured chamber used for multiple processes

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009531857A (ja) * 2006-03-28 2009-09-03 東京エレクトロン株式会社 損傷を受けた誘電材料の除去方法
JP2009542000A (ja) * 2006-06-22 2009-11-26 東京エレクトロン株式会社 乾式非プラズマ処理システム及び当該システムの使用方法
JP2013141013A (ja) * 2006-06-22 2013-07-18 Tokyo Electron Ltd 乾式非プラズマ処理システム及び当該システムの使用方法
US8828185B2 (en) 2006-06-22 2014-09-09 Tokyo Electron Limited Dry non-plasma treatment system and method of using

Also Published As

Publication number Publication date
JP2008502134A (ja) 2008-01-24
US20050269291A1 (en) 2005-12-08

Similar Documents

Publication Publication Date Title
US7651583B2 (en) Processing system and method for treating a substrate
US20050269291A1 (en) Method of operating a processing system for treating a substrate
US7079760B2 (en) Processing system and method for thermally treating a substrate
US6951821B2 (en) Processing system and method for chemically treating a substrate
US7462564B2 (en) Processing system and method for treating a substrate
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
EP1730770B1 (fr) Procede pour traiter un substrat
WO2005104215A2 (fr) Procede et systeme de reglage d'un procede d'elimination d'oxyde chimique a l'aide d'une pression partielle
US20080217293A1 (en) Processing system and method for performing high throughput non-plasma processing

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007515122

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

122 Ep: pct application non-entry in european phase